超大规模芯片的设计制造挑战

2020-02-28 周五 20:30 开播 回顾中 人气值 1.5k
课程简介

内容介绍

  1. 芯片规模变化趋势
  2. 超大规模芯片的设计挑战
  3. 超大规模芯片的生产挑战
  4. 超大规模芯片的应用挑战
  5. 业内常见超大芯片设计方法介绍

回放

微信群

WeChat Image_20200330161226.jpg

添加极术小姐姐进入极术核心读者群,每周及时获取直播及回放信息

关注数
14649
内容数
123
订阅极术公开课,即时获取最新技术公开课信息
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息