18

JoshuaのFPGA · 2021年10月20日

基于Windows系统的SpinalHDL开发环境搭建

开发所需软件

SpinaHDL环境搭建所需的软件安装包,SpinalHDL是Scala语言的一个库,开发Scala需要使用IDEA软件;JDK17、SDK:Scala2.12.15,SBT1.5.5;仿真工具使用verilator+gtkwave,为了便捷安装使用Iverilog软件(此软件集成GTKWave),安装verilator软件在windows系统需要使用msys2软件进行安装。

软件安装

  1. 所有软件安装在C:\SpinalHDL根目录下即可
  2. 所有软件安装过程中,把path选项都勾选上
  3. 仿真需要使用GTKWave+Verilator,安装MSYS2软件之后,打开用户终端输入如下指令

    pacman -Syuu

    更新后关闭软件 重新打开

    pacman -Syuu

    pacman -S --needed base-devel mingw-w64-x86_64-toolchain git flex mingw-w64-x86_64-cmake

    pacman -U http://repo.msys2.org/mingw/x...

所有更新完毕后更新文件均保存在C:\SpinalHDL\msys64路径中。
增加 C:\SpinalHDL\msys64\usr\bin;C:\SpinalHDL\msys64\mingw64\bin 到系统变量PATH中。

系统变量与环境变量检查

系统变量PTAH中包含

  • C:\Program Files\Common Files\Oracle\Java\javapath
  • C:\SpinalHDL\sbt\bin
  • C:\SpinalHDL\scala\bin
  • C:\SpinalHDL\msys64\usr\bin
  • C:\SpinalHDL\msys64\mingw64\bin

1634697962(1).png

用户环境变量PATH中包含

  • C:\SpinalHDL\iverilog\bin
  • C:\SpinalHDL\iverilog\gtkwave\bin

1634697905(1).png

依赖库的离线解决方法

在线安装完之后,依赖库会自动缓存到C:\Users\用户名\AppData\Local\Coursier路径下(该路径为隐藏路径,需要将[查看]->[隐藏的项目]勾选上才能显示),因此可将Coursier压缩打包,然后将 Coursier.zip文件拷贝解压到离线电脑的C:\Users\用户名\AppData\Local路径中即可

1634698124(1).png

将在线安装更新后的C:\SpinalHDL\msys64压缩打包,然后拷贝到离线电脑中,再将msys64.zip解压到C:\SpinalHDL中即可

1634698163(1).png

VSCode-SpinalHDL集成开发环境

在上述环境搭建完之后,如果不熟悉IDEA软件,也可以用VSCode软件进行代替。需要安装Scala(Metals)插件,并对该插件进行如下设置:
1635758306(1).png
1635758866(1).png

导入sbt文件,联网进行相应的更新,等待更新完毕即可。使用该插件可以参考插件的介绍,按照步骤操作即可。
VScode-SpinalHDL效果如图所示;
image.png

推荐阅读
关注数
9
内容数
6
FPGAer
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息