碎碎思 头像

碎碎思

7695 声望
他还没有填写个人简介
关注了
0
粉丝数
148
最新动态
  • 发布了文章 ·
    FPGA在汽车电子中应用-ADAS

    核心主控是ZYNQ UltraScale+系列FPGA。虽然目前新能源汽车主控已经转投英伟达+ARM了,但是FPGA还是有一定应用场景的。

    摘要图
  • 发布了文章 ·
    FPGA实现串口升级及MultiBoot(四)MultiBoot简介

    缩略词索引:K7:Kintex 7V7:Vertex 7A7:Artix 7我们在正常升级的过程(只使用一个位流文件),假如:(1)因为干扰通信模块收到了一个错误位;(2)或者烧写进FLASH时突然断电,导致位流文件不完整;(3)再或者使用软核升级应用程序时,突然断电或者接收文件错误,导致应用程序启动不了;(4)再再或者外场人员烧写了不...

    摘要图
  • 发布了文章 ·
    最酷的 FPGA 技术之一:DFX 实例精讲

    在微秒内交换“硬件”:使用 AMD 的 FPGA 即可实现。该技术称为DFX(Dynamic Function Exchange)。

    摘要图
  • 发布了文章 ·
    FPGA实现串口升级及MultiBoot(三)FPGA启动加载方式

    缩略词索引:K7:Kintex 7V7:Vertex 7A7:Artix 7上一篇中介绍了FPGA的启动步骤,如图0 所示,今天这篇文章就要在上一篇文章基础上进行分支细化,首先我们先了解FPGA 启动加载的几种方式。同时对于我们设计中常见的几个问题将在文章最后详细讨论,比如HEX、BIN、MCS区别,在生成MCS时候Bit Swap什么作用,Bitstream 压缩到...

    摘要图
  • 发布了文章 ·
    FPGA实现串口升级及MultiBoot(二)FPGA启动流程

    这个系列开篇肯定要先了解FPGA的启动流程,试想一下:我想实现MultiBoot,那么我应该在什么时候开始升级,升级失败后FPGA进行了哪些操作,以及怎么回到Golden区?

    摘要图
  • 发布了文章 ·
    使用 FPGA 播放 SD 卡中的音频文件

    在上一篇教程中,创建了一个 I2S 发送器用来发送来从FPGA内部 ROM 的音频数据。下一步,我们向该 I2S 发送器添加 AXI-Stream 接口,这样我们就可以将发送器与 ZYNQ 的处理系统连接,还可以从 SD 卡读取音频数据。

    摘要图
  • 发布了文章 ·
    基于 FPGA 的低成本、低延时成像系统

    《优秀的IC/FPGA开源项目》是新开的系列,旨在介绍单一项目,会比《优秀的 Verilog/FPGA开源项目》内容介绍更加详细,包括但不限于综合、上板测试等。两者相辅相成,互补互充~

    摘要图
  • 发布了文章 ·
    FPGA可以解决哪些问题?

    FPGA 允许在单个芯片中实现大量数字逻辑,其运行速度相对较高,并且只需很少或不需要在 CPU 内核上运行的传统顺序程序即可完成其工作。

    摘要图
  • 发布了文章 ·
    适用FPGA的小型神经网络(一)

    之前推荐过适合FPGA的神经网络,包括CNN、DNN及SNN等,想实现的可以去看下:优秀的 Verilog/FPGA开源项目介绍(三十五)- TinyML 优秀的 Verilog/FPGA开源项目介绍(二十四)- 脉冲神经网络 (SNN) 优秀的 Verilog/FPGA开源项目介绍(二十二)- 深度神经网络 (DNN) 优秀的 Verilog/FPGA开源项目介绍(二十一)- 卷积神经...

    摘要图
  • 发布了文章 ·
    适用FPGA的小型神经网络(二)

    VGG(Visual Geometry Group)是牛津大学的Karen Simonyan和Andrew Zisserman在挑战2014年的ILSVRC时提出的系列模型。基于模型研究和比赛结果,两人发表了论文《Very Deep Convolutional Networks For Large-Scale Image Recognition》。

    摘要图
  • 发布了文章 ·
    为什么 FPGA 的效率低于 ASIC?

    FPGA是“可重构逻辑”器件。先制造的芯片,再次设计时“重新配置”。ASIC 不需要“重新配置”。你先设计,把它交给代工厂,然后制造芯片。现在让我们看看这些芯片的结构是什么样的,以及它们的不同之处。● 逻辑单元:FPGA的基础模块这是最小的逻辑块。LUT 充当组合逻辑。在DFF的帮助下,可以形成时序逻辑。它可以构建逻辑门、...

    摘要图
  • 发布了文章 ·
    在HLS中插入HDL代码

    很多人都比较反感用C/C++开发(HLS)FPGA,大家第一拒绝的理由就是耗费资源太多。但是HLS也有自己的优点,除了快速构建算法外,还有一个就是接口的生成,尤其对于AXI类接口,按照标准语法就可以很方便地生成相关接口。

    摘要图
  • 发布了文章 ·
    用FPGA做GPU?

    由于 GPU 市场竞争激烈,目前大公司除了专利垄断,一些技术细节也是封闭的。除了英特尔,它发布了大量关于其 GPU 的技术文档:[链接]当然,还可以在网上找到一些古老的GPU 如 i810/815 手册。AMD 也发布了大量文档 :[链接]包括其当前和过去产品的完整 ISA 文档,但是这些文档更倾向于“使用手册”,主要包括(数百或数千...

    摘要图
  • 发布了文章 ·
    FPGA与CPU进行数据通信有哪些方法?

    在进行FPGA设计时候,除了一些算法\验证等应用,其他情况下都需要FPGA和控制器进行数据交互(通信)。

    摘要图
  • 发布了文章 ·
    FPGA无芯片HDMI接入方案及源码

    FPGA 在无外部PHY芯片情况下输出HDMI,目前是比较成熟的方案(外部电路需要转换成TMDS电平)。在无PHY芯片情况下怎么进行HDMI信号输入呢?

    摘要图
  • 发布了文章 ·
    基于FPGA EtherCAT的六自由度机器人视觉伺服控制设计

    中国制造 2025 是中国政府实施制造强国战略的第一个十年行动纲领,是全面提升中国制造业发展质量和水平的重大战略部署,其中明确指出了围绕工业机器人创新技术的重要地位。目前工业机器人技术已经广泛应用在汽车加工、食物分拣、自动化生产装配等领域。工业现场通信技术是智能制造业的核心技术之一,随着智能制造业的快...

    摘要图
  • 发布了文章 ·
    FPGA在汽车领域的应用简谈

    随着科技的不断进步,汽车行业也在不断演变,而FPGA(可编程逻辑门阵列)的引入给汽车领域带来了革命性的变化。FPGA作为一种灵活且高性能的“处理器”,已经在汽车行业找到了广泛的应用

    摘要图
  • 发布了文章 ·
    国外大学生都用FPGA做什么项目(十四)

    据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。

    摘要图
  • 发布了文章 ·
    优秀的 Verilog/FPGA开源项目介绍(十七)- AXI

    AMBA总线是ARM研发的(Advanced Microcontroller Bus Architecture)提供的一种特殊的机制,可以将RISC处理器集成在其他IP芯核和外设中,它是有效连接IP核的“数字胶”,并且是ARM复用策略的重要组件。

    摘要图
  • 发布了文章 ·
    通用图形处理架构-FPGA版

    “互联网上的整个电子商务世界都是由图形分析驱动的”,因为图形结构可以自然地代表许多重要应用领域的数据集,例如社交网络、网络安全和机器学习。在当前的时代,图形结构呈指数级增长,这就导致了这些应用程序的数据对高性能图形处理产生了迫切的需求。

    摘要图
认证与成就
获得 431 次点赞
2020年11月24日 加入
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息