马毅煌@驭势资本 · 2021年02月02日

国产半导体设备行业研究宝典

640 (8).gif

半导体

半导体产业链解析

半导体指常温下导电性能介于导体与绝缘体之间的材料。半导体产品按照功能区分可以分为集成电路、光电子器件、分立器件和传感器等四大类。其中集成电路是半导体产业的核心,根据WSTS数据,2020年集成电路市场规模占到了半导体市场的82%。

image.png

半导体产业链可按照主要生产过程进行划分,整体可分为上游中游下游。以半导体中占比最高的集成电路产业为例,上游包括半导体材料、生产设备、EDA、IP核。EDA,即电子设计自动化(Electronics Design Automation),主要包括设计工具和设计软件。IP核(Intellectual Property Core)提供已经完成逻辑设计或物理设计的芯片功能模块,通过授权允许客户将其集成在IC设计中。中游包括设计、制造、封测三大环节。下游主要为半导体应用,主要包括3C电子、医疗、通信、物联网、信息安全、汽车、新能源、工业等。

image.png

半导体产业运作的两种模式:IDM和垂直分工模式

半导体产业运作主要有两种模式,即IDM模式和垂直分工模式。如前文所述,半导体整个制造过程主要包括芯片设计、晶圆制造和封装测试三大环节。所谓IDM(Integrated Device Manufacture)模式,即由一个厂商独立完成芯片设计、制造和封装三大环节,英特尔和三星是全球最具代表性的IDM企业。另一种模式为垂直分工模式,即Fabless(无晶圆制造的设计公司)+Foundry(晶圆代工厂)+OSAT(封装测试企业),Fabless是指专注于芯片设计业务,只负责芯片的电路设计与销售,将生产、测试、封装等环节外包的设计企业,代表企业有高通、英伟达、AMD等;Foundry即晶圆代工厂,指只负责制造、封测的一个或多个环节,不负责芯片设计,可以同时为多家设计公司提供服务的企业,代表企业有台积电、中芯国际等。OSAT指专门从事半导体封装和测试的企业。

image.png

在台积电成立以前,半导体行业只有IDM一种模式。IDM模式的优势在于资源的内部整合优势,以及具有较高的利润率。IDM模式贯穿整个半导体生产流程,不存在工艺流程对接问题,新产品从开发到面市的时间较短,且因为覆盖前端的IC设计和末端的品牌营销环节,具有较高的利润率水平。但其公司规模庞大、管理成本和运营费用较高,同时半导体生产需要庞大的资本支出,使得行业内只有极大的几家IDM企业能够生存。

image.png

半导体制造业具有明显的规模经济效应,扩大规模可以显著降低单位产品的成本,提高企业竞争力,降低产品价格,垂直分工模式应运而生。一方面,垂直分工模式使得Fabless投资规模较小,运行费用较低,因此涌现出了大量的优质的芯片设计企业。另一方面,Foundry能够最大化的利用产能,提高资本支出的收益率。但垂直分工模式可能会因芯片设计和生产无法顺利协同,导致芯片从设计到面市的时间过长,给芯片设计厂商造成损失。

硅片制造

半导体设备主要应用在半导体产业链中的晶圆制造和封装测试环节。硅片制造是半导体制造的第一大环节,硅片制造主要通过硅料提纯、拉晶、整型、切片、研磨、刻蚀、抛光、清洗等工艺将硅料制造成硅片,然后提供给晶圆加工厂。
image.png

半导体工业中有两种常用方法生产单晶硅,即直拉单晶制造法(CZ法)和悬浮区熔法(FZ法)。CZ法是硅片制造常用的方法,它较FZ法有较多优点,例如只有CZ法能够做出直径大于200mm的晶圆,并且它的价格较为便宜。CZ法的原理是将多晶硅硅料置于坩埚中,使用射频或电阻加热线圈加热熔化,待温度超过硅的熔点温度后,将籽晶浸入、熔接、引晶、放肩、转肩等径等步骤,完成一根单晶硅棒的拉制。
image.png

image.png

image.png

单晶生长炉是生产单晶硅的主要半导体设备。目前全球的单晶生长炉主要由美国Kayex、德国PVA TePla、日本 Ferrotec等企业供应,国内的单晶生长炉企业主要包括晶盛机电、南京晶能、连城数控等。
image.png
单晶硅棒完成后,还需要经过一系列加工才能得到硅片成品,主要涉及的半导体设备有切片机、研磨机、湿法刻蚀机、清洗机、抛光机和量测机。目前上述硅片加工设备主要由日本、德国和美国厂商提供,国内仅有晶盛机电等少数厂家推出了部分硅片加工设备,市场占有率较低。

image.png

晶圆制造

晶圆制造是半导体制造过程中最重要也是最复杂的环节,整个晶圆制造过程包括数百道工艺流程,涉及数十种半导体设备。晶圆制造主要的工艺流程包括热处理、光刻、刻蚀、离子注入、薄膜沉积、化学机械研磨和清洗。

image.png

热处理工艺

热处理主要包括氧化、扩散和退火工艺。氧化是一种添加工艺,是将硅片放入高温炉中,加入氧气与之反应,在晶圆表面形成二氧化硅。扩散是通过分子热运动使物质由高浓度区移向低浓度区,利用扩散工艺可以在硅衬底中掺杂特定的掺杂物,从而改变半导体的导电率,但与离子注入相比扩散掺杂不能独立控制掺杂物浓度和结深,因此现在应用越来越少。退火是一种加热过程,通过加热使晶圆产生特定的物理和化学变化,并在晶圆表面增加或移除少量物质。

image.png

热处理工艺使用的半导体设备为氧化扩散设备,其实质为高温炉。高温炉分为直立式和水平式高温炉,高温炉主要包括五个基本组件:控制系统、工艺炉管、气体输送系统、气体排放系统和装载系统。高温炉必须具有稳定性、均匀性、精确的温度控制、低微粒污染、高生产率和可靠性。
image.png
image.png
氧化扩散设备主要由东京电子、科意半导体和应用材料供应,国内的氧化扩散设备生产商主要包括北方华创和屹唐半导体。从长江存储的招标情况来看,氧化扩散设备还是以国外厂商设备为主,国内厂商北方华创市占率逐年上升,截至今年10月,从设备数量来看,北方华创热处理设备在长江存储的占比已经超过了30%,屹唐半导体占比 1%。

光刻工艺

光刻是将设计好的电路图从光刻版或倍缩光刻版转印到晶圆表面的光刻胶上,便于后续通过刻蚀和离子注入等工艺实现设计电路,是晶圆制造中最重要的技术。光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影。整个光刻过程需要经过八道工序:晶圆清洗、表面预处理、光刻胶自旋涂敷、软烘烤、对准、曝光、曝光后烘烤、显影、坚膜烘烤和图形检测。

image.png

image.png
光刻工艺流程中最核心的半导体设备是光刻机,光刻机是半导体设备中技术壁垒最高的设备,其研发难度大,价值量占晶圆制造设备中的30%。目前全球的高端光刻机由荷兰ASML公司垄断,ASML是全球最大的光刻机生产商,是全球唯一能够生产EUV光刻机的厂商,EUV光刻机是先进制程工艺中的核心设备。中低端光刻机除ASML外,还有日本的Canon和Nikon可以供应。

image.png

image.png

目前国内具备光刻机生产能力的企业主要是上海微电子装备有限公司。上海微电子装备(集团)股份有限公司(简称SMEE)主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域。

image.png
在集成电路领域,上海微电子产品主要包括光刻机和晶圆对准及缺陷检测设备。公司的光刻机产品有SSX600和SSB500两个系列,其中SSX600系列主要应用于IC前道光刻工艺,可满足IC前道制造90nm、110nm、280nm关键层和非关键层的光刻工艺需求;SSB500系列光刻机主要应用于IC后道先进封装工艺。

image.png

除上海微电子生产光刻机整机以外,国内还有华卓精科和国科精密从事光刻机零部件的研发和生产。华卓精科以光刻机双工件台这一超精密机械领域的尖端产品为核心,并以该产品的超精密测控技术为基础,开发了晶圆级键合设备、激光退火设备等整机产品。国科精密致力于极大规模集成电路光刻投影光学、显微光学、多光谱融合成像探测、超精密光机制造与检测等领域的高技术研究,同时开展相应各类高端光学仪器与装备产品的研发工作,2016年公司研发的我国首套用于高端IC制造的NA0.75投影光刻机物镜系统顺利交付用户。

image.png

光刻工序所使用的半导体设备除了核心设备光刻机外,还需要涂胶显影设备。涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备,包括涂胶机、喷胶机和显影机,在8英寸及以上晶圆的大型生产线上,此类设备一般都与光刻设备联机作业,组成配套的圆片处理与光刻生产线,与光刻机配合完成精细的光刻工艺流程。全球的涂胶显影设备基本上被TEL垄断,国内涂胶显影设备厂有沈阳芯源微和盛美股份。

image.png
image.png

刻蚀工艺

刻蚀是通过移除晶圆表面材料,在晶圆上根据光刻图案进行微观雕刻,将图形转移到晶圆表面的工艺。刻蚀分为湿法刻蚀和干法刻蚀,湿法刻蚀是利用化学溶液溶解晶圆表面的材料,干法刻蚀使用气态化学刻蚀剂与材料产生反应来刻蚀材料并形成可以从衬底上移除的挥发性副产品。由于等离子体产生促进化学反应的自由基能显著增加化学反应的速率并加强化学刻蚀,等离子体同时也会造成晶圆表面的离子轰击,故干法刻蚀一般都是采用等离子刻蚀。
image.png
image.png
image.png
集成电路芯片刻蚀工艺中包含多种材料的刻蚀,单晶硅刻蚀用于形成浅沟槽隔离,多晶硅刻蚀用于界定栅和局部连线,氧化物刻蚀界定接触窗和金属层间接触窗孔,金属刻蚀主要形成金属连线。

image.png

目前等离子刻蚀是晶圆制造中使用的主要刻蚀方法,电容性等离子刻蚀(CCP)和电感性等离子刻蚀(ICP)是两种常用的等离子刻蚀方法。电容性等离子体刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深沟等微观结构;而电感性等离子体刻蚀主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的和较薄的材料。

image.png

image.png

原子层刻蚀(ALE)是指通过一系列的自限制反应去除单个原子层,不会触及和破坏底层以及周围材料的先进半导体生产工艺。原子层刻蚀可以实现精准的控制,具有优秀的各向异性,是未来刻蚀工艺的发展方向。
image.png

刻蚀工艺使用的半导体设备为刻蚀机。全球刻蚀设备行业的主要企业即泛林半导体(Lam Research),东京电子(TEL)和应用材料(AMAT)三家。从全球刻蚀设备市场份额来看,三家企业的合计市场份额就占到了全球刻蚀设备市场的90%以上。其中泛林半导体独占52%的市场份额,东京电子与应用材料分别占据20%和19%的市场份额。

image.png

image.png

国内的刻蚀设备企业主要有中微公司、北方华创、屹唐半导体和中电科。其中,中微公司、北方华创和屹唐半导体均以生产干法刻蚀设备为主,中电科除了生产干法刻蚀设备以外还生产湿法刻蚀设备。除上述企业外,国内还有创世微纳、芯源微和华林科纳等企业生产刻蚀设备。

image.png
国内刻蚀设备生产商中,中微公司在CCP刻蚀领域具备明显优势。在逻辑集成电路制造方面,公司的CCP刻蚀设备已经进入国际知名晶圆代工厂的先进制程生产线,用于7/5纳米器件的生产。在3D NAND芯片制造方面,公司的CCP刻蚀设备技术可应用于64层的量产,同时公司根据存储器厂商的需求正在开发96层及更先进的刻蚀设备和工艺。

image.png

北方华创主要覆盖ICP刻蚀设备,公司ICP刻蚀设备主要用于硅刻蚀和金属材料的刻蚀,28nm制程以上刻蚀设备已经实现产业化,在先进制程方面,公司硅刻蚀设备已经突破14nm技术,进入上海集成电路研发中心,与客户共同开展研发工作。
image.png

离子注入工艺

离子注入是一种添加工艺,利用高能量带电离子束注入的形式,将掺杂原子强行掺入半导体中,从而控制半导体的导电率。离子注入提供了比扩散过程更好的掺杂工艺控制,例如在扩散工艺中掺杂物的浓度和结深无法独立控制,而在离子注入中可以通过离子束电流和注入时间控制掺杂物浓度,通过离子的能量控制掺杂物的结深,因此离子注入是目前半导体行业中的主要掺杂方法。

image.png
离子注入所使用的半导体设备为离子注入机,离子注入机是非常庞大的设备,包括了气体系统、电机系统、真空系统、控制系统和最重要的射线系统。根据离子束电流和束流能量范围,一般可以把离子注入机分为低能大束流离子注入机、高能离子注入机和中低束离子注入机。

image.png

image.png

离子注入机可以应用在集成电路和光伏领域。在集成电路领域,全球的离子注入机为应用材料所垄断,其市场占有率达到了70%,其次为Axcelis,占据了近20%的市场份额。国内的离子注入机生产企业主要是凯世通和北京中科信,2020年12月凯世通宣布拟向芯成科技出售3款12英寸集成电路离子注入机,国产离子注入机迈出了关键一步。
image.png

image.png

薄膜沉积工艺

薄膜沉积是一种添加工艺,是指利用化学方法或物理方法在晶圆表面沉积一层电介质薄膜或金属薄膜,根据沉积方法可以分为化学气相沉积(CVD)和物理气相沉积(PVD)。

image.png

image.png

CVD是利用气态化学源材料在晶圆表面产生化学反应过程,在表面沉积一种固态物作为薄膜层。CVD广泛应用在晶圆制造的沉积工艺中,包括外延硅沉积、多晶硅沉积、电介质薄膜沉积和金属薄膜沉积。常用的化学气相沉积工艺包括常压化学气相沉积(APCVD)、低压化学气相沉积(LPCVD)和离子增强型化学气相沉积(PECVD)。

image.png
APCVD主要应用在二氧化硅和氮化硅的沉积,LPCVD主要应用于多晶硅、二氧化硅及氮化硅的沉积。PECVD通过等离子产生的自由基来增加化学反应速度,可以利用相对较低的温度达到较高的沉积速率,广泛应用于氧化硅、氮化硅、低k、ESL和其他电介质薄膜沉积。

image.png

CVD工艺使用的半导体设备是化学气相沉积设备,全球的化学气相沉积设备市场主要由应用材料、泛林半导体和东京电子所垄断,CR3为70%。从CVD设备种类来看,PECVD、APCVD和LPCVD三类CVD设备合计市场份额约占总市场份额的70%,仍旧是CVD设备市场的主流。

image.png

image.png

集成电路领域的国产CVD设备生产商主要有北方华创和沈阳拓荆。北方华创主要生产APCVD设备和LPCVD设备,沈阳拓荆则以PECVD为主,根据中国国际招标网数据,沈阳拓荆已有3台PECVD设备进入长江存储。
image.png

原子层沉积(ALD)是一种可以将物质以单原子膜形式一层一层的镀在基底表面的方法。原子层沉积与普通的化学沉积有相似之处。但在原子层沉积过程中,新一层原子膜的化学反应是直接与之前一层相关联的,这种方式使每次反应只沉积一层原子。ALD工艺可以更加精确控制薄膜的尺寸,对于DRAM,3D NAND和逻辑FinFET制造中越来越重要,可能成为未来薄膜沉积的核心工艺。
image.png

目前ALD设备尚未在集成电路行业中大规模使用,应用材料、泛林半导体和东京电子都已经推出了ALD设备,国内设备生产商在ALD设备方面也有布局。北方华创推出的ALD设备可以满足28-14nm FinFET和3D NAND原子层沉积工艺要求,目前正处于验证阶段。沈阳拓荆在已通过生产验证的PECVD平台上自主研发了原子层沉积设备,可应用于超大规模集成电路,OLED及先进封装领域。

image.png

物理气相沉积(PVD)是另一种重要的薄膜沉积工艺,PVD是通过加热或溅射过程将固态材料气态化,然后使蒸汽在衬底表面凝结形成固态薄膜,常用的PVD工艺有蒸发工艺和溅镀工艺。
image.png
PVD工艺使用的半导体设备为PVD设备,全球PVD设备市场基本上为应用材料所垄断,其市场份额高达85%,其次为Evatec和Ulvac,市场份额分别为6%和5%。
image.png

国内在集成电路领域的PVD生产商主要为北方华创。北方华创突破了溅射源设计技术、等离子产生与控制技术、颗粒控制技术、腔室设计与仿真模拟技术、软件控制技术等多项关键技术,实现了国产集成电路领域高端薄膜制备设备零的突破,设备覆盖了90-14nm多个制程。根据公司官网消息,公司PVD设备被国内先进集成电路芯片制造企业指定为28nm制程Baseline机台,并成功进入国际供应链体系。

image.png

化学机械研磨工艺

化学机械研磨(CMP)是一种移除工艺技术,该工艺结合化学反应和机械研磨去除沉积的薄膜,使得晶圆表面更加平坦和光滑。CMP技术有多种优势,例如CMP允许高解析度的光刻技术,可以减小过度曝光和显影的需求,允许更均匀的薄膜沉积从而减小刻蚀的时间。

image.png
CMP工艺使用的半导体设备是化学机械研磨机。常见的CMP系统包括研磨衬垫、可以握住晶圆并使其表面向下接触研磨衬垫的自旋晶圆载具,以及一个研磨浆输配器装置。

image.png

全球CMP设备市场主要由应用材料和荏原机械垄断,其中应用材料占据了全球70%的市场份额,荏原机械的市占率为25%。国内CMP设备的主要研发生产单位有华海清科和北京烁科精微电子装备有限公司,其中华海清科是目前国内唯一实现12英寸系列CMP设备量产销售的半导体设备供应商,打破了国际厂商的垄断,填补国内空白并实现进口替代。

清洗

清洗是贯穿晶圆制造的重要工艺环节,用于去除晶圆制造中各工艺步骤中可能存在的杂质,避免杂质影响芯片良率和芯片产品性能。目前,随着芯片制造工艺先进程度的持续提升,对晶圆表面污染物的控制要求不断提高,每一步光刻、刻蚀、沉积等重复性工序后,都需要一步清洗工序。清洗不仅应用于晶圆制造,在硅片制造和封装测试过程中也必不可少。

image.png
在全球清洗设备市场,日本DNS公司占据40%以上的市场份额,此外,TEL、LAM等也在行业占据了较高的市场份额,市场集中度较高。国内的清洗设备领域主要有盛美半导体、北方华创、芯源微、至纯科技。其中,盛美半导体主要产品为集成电路领域的单片清洗设备;北方华创收购美国半导体设备生产商Akrion Systems LLC之后主要产品为单片及槽式清洗设备;芯源微产品主要应用于集成电路制造领域的单片式刷洗领域;至纯科技具备生产8-12英寸高阶单晶圆湿法清洗设备和槽式湿法清洗设备的相关技术。
image.png
测试与封装

测试

半导体测试贯穿了半导体整个产业链,芯片设计、晶圆制造以及最后的芯片封装环节都需要进行相应的测试,以保证产品的良率。
image.png

芯片设计环节的测试主要是设计商使用测试机、探针台和分选机对晶圆样品和芯片封装样品的功能和性能进行测试。晶圆制造环节的测试包括晶圆几何尺寸与表面形貌的检测、成分结构分析以及电性测试。封装测试环节主要是通过分选机和测试机对芯片的电性参数及性能等进行测试,以保证出厂后的芯片在性能和寿命方面达到设计标准。

image.png

测试环节主要使用的半导体设备是测试机、分选机和探针台。测试机是检测芯片功能和性能的专用设备,测试机对芯片施加输入信号,采集被检测芯片的输出信号与预期值进行比较,判断芯片在不同工作条件下功能和性能的有效性。

image.png

全球测试机市场被爱德万、泰瑞达和科休垄断,三者市场占有率分别为50%,40%和8%。国内测试机生产商主要有华峰测控和长川科技。华峰测控和长川科技专注于模拟测试机和数字模拟混合测试机,其中华峰测控在国内模拟测试机市占率接近60%。我国测试机市场中占市场主要份额的为存储测试机和SOC测试机,市场份额分别为 43.8%和23.5%。

image.png

探针台和分选机是将芯片的引脚与测试机的功能模块连接起来并实现批量自动化测试的专用设备。探针台用于晶圆加工之后、封装工艺之前的CP测试环节,负责晶圆的输送与定位,使晶圆上的晶粒依次与探针接触并逐个测试。分选机负责将输入的芯片按照系统设计的取放方式运输到测试模块完成电路压测,在此步骤内分选机依据测试结果对电路进行取舍和分类。

image.png

半导体探针台设备行业集中度较高,目前主要由东京精密、东京电子两家垄断,两个公司共计占据全球约70%的市场份额。台湾惠特、台湾旺矽等也占有较大的市场份额,特别是在LED探针台领域具有优势。国内最大的探针台生产企业是深圳矽电,长川科技、中电科45所也具备探针台生产能力。

image.png

分选机按照系统结构可以分为三大类别,即重力式分选机、转塔式分选机、平移拾取和放置式分选机。全球分选机市场由爱德万、科休、爱普生三家企业所垄断,国内的分选机生产商主要有长川科技。

封装

封装是将芯片在基板上布局、固定及连接,并用可塑性绝缘介质灌封形成电子产品的过程,目的是保护芯片免受损伤,保证芯片的散热性能,以及实现电能和电信号的传输,确保系统正常工作。封装设备主要有切割减薄设备、引线机、键合机、分选测试机等。目前封装设备主要由国外企业垄断,全球封装设备主要由ASM Pacific、K&S、Shinkawa、Besi等国外企业垄断,国内具备封装设备制造能力的企业主要有中电科45所、艾科瑞斯和大连佳峰。

半导体

产业格局不断变化,中国或将成为产业重心

行业进入新一轮上升周期

半导体行业每一次进入上升周期都是由下游需求驱动。回顾半导体行业的发展历史可以看出,每当下游出现技术升级或产品迭代时,市场对于半导体的需求将进入上升周期。在80到90年代,家用电器的普及以及计算机在商业领域的渗透推动了行业的成长;90年代到本世纪初,家用电脑及笔记本电脑的普及带来了行业成长的新动力;2013年到2018年,智能手机和平板电脑等消费电子推动了行业新一轮繁荣,但2019年消费电子的驱动已经出现乏力,半导体行业出现了短暂的回落。

image.png

新的技术和产品将带来行业驱动力,半导体行业或将进入上升周期。5G、物联网、大数据、人工智能以及汽车电子等新技术和新产品的应用,将带来庞大的半导体市场需求,行业将进入新一轮的上升周期。根据WSTS预测,2020年全球半导体销售额将达4330亿美元,同比增长5.9%,2021年半导体销售额将达4690亿美元,同比增长8.3%。我们预测2022和2023年半导体市场将继续增长,2023年全球市场规模将达到5010亿美元。

全球产业转移,中国市场高速成长

半导体经历过两次大的产业转移。半导体产业于20世纪60年代发源于美国,美国作为半导体发源地,在产品和技术方面一直保持着全球领先水平。第一次转移发生于20世纪80年代,美国将技术和利润较低的封测剥离,转移到日本地区,日本借助美国的技术支持,逐步完善半导体产业,并在PC和家电等领域赶超,造就了日本东芝和日本日立等知名企业。第二次是20世纪90年代,随着PC产业升级,DRAM技术不断提升,而日本由于经济危机无法支撑产业发展,韩国借此机会对DRAM技术和产能不断投入,确立了其在PC半导体领域的地位。台湾把握住了美日半导体从IDM模式转向垂直分工模式的机会,大力发展了以台积电为代表的晶圆代工产业,在产业链占据了重要的位置。

半导体产业正在进行第三次产业转移。中国是全球最大的半导体消费市场,同时也是全球最大的半导体进口国,庞大的市场需求为半导体产业发展提供了前提。2010年以来,中国一方面凭借低劳动力成本的优势,一方面不断引进半导体产业先进技术,同时加大半导体产业人才培养,逐步承接了半导体低端封测和晶圆制造业务,完成了半导体产业的原始积累。随着全球电子化进程的开展,下游产业快速发展,不断推动中国半导体产业持续兴旺。
image.png

2019年我国半导体销售额约占全球市场的35%。在过去十年的半导体景气周期中,以手机为主的消费电子成为半导体行业发展的主要驱动因素,中国在经济高速发展和巨大的人口基数作用下,成为全球第一大消费电子市场。据全球半导体贸易统计组织数据,2014~2019年中国占全球半导体消费市场的份额逐年提升中国,2019年半导体销售额达到1441亿美元,占全球市场份额的35%。随着5G、汽车电子等下游应用在中国迅速兴起,中国将有望成为全球半导体市场的重心。
image.png

中国半导体市场仍旧存在供需错配。虽然中国已经成为全球最大的半导体消费国,但中国的半导体生产能力还远远不能匹配中国市场的巨大需求,晶圆产能仍旧有待提升。当前半导体产业仍旧由外资主导,无论是半导体设计还是半导体制造,中国企业的市占率仍旧很低。从晶圆制造产能来看,全球TOP5晶圆制造商均为外资企业,占据了全球超过50%的产能份额。
image.png

中国大陆封测产业已经具备一定实力。中国凭借低廉的劳动力,首先承接了对劳动力需求较大技术要求较低的半导体封测业务。目前,中国大陆封测环节在全球已经具备一定的竞争力,根据拓墣产业研究院数据,2020年第三季度全球前十大封测企业中,中国大陆企业长电科技、通富微电和华天科技分别位列3、6、7名。
image.png

image.png

2020年我国芯片设计行业销售额首次突破500亿美元。2020年虽然行业受到了新冠疫情的影响,但我国芯片设计行业仍旧保持了较快的增长态势,2020年全行业设计企业数量为2218家,同比增长24.6%。从销售收入来看,全行业销售预计为3819.4亿元,同比增长23.8%,按照美元与人民币1:6.8的兑换率,全年销售约为561.7亿美元,首次超过500亿美元。

image.png
我国晶圆代工发展迅速,中芯国际和华宏半导体已进入全球前十。我国封测行业逐渐进入成熟阶段,晶圆代工正在快速崛起,涌现出了中芯国际和华宏半导体等具备发展潜力的晶圆代工企业。根据拓墣产业研究院最新预测,2020第四季度全球晶圆代工营收排行中,中芯国际和华宏半导体分别位列第5名和第9名。同时,我国正在寻求IC制造方面的突破,中国大陆正迎来投资建厂热潮,这将为半导体设备带来广阔的市场空间。
image.png

半导体设备对于行业发展至关重要。当前我国半导体设备依旧高度依赖于海外企业,并且在核心技术和零部件上受到一定的限制。半导体设备涉及数学、物理、化学、光学、力学等多个基础学科,技术壁垒高,研发难度大周期长,是整个产业中最关键的环节之一。半导体设备直接关系芯片设计能否落成实物,产品可靠性和良率能否达到设计标准,国内行业是否能够参与全球竞争。因此要实现我国半导体产业链的自主可控,半导体设备至关重要。

半导体

半导体设备市场再创新高,国产化替代空间广阔

全球半导体设备市场或超710亿美元

根据SEMI最新预测,2021年全球半导体设备需求将超过710亿美元。半导体设备位于产业链的上游,其市场规模随着下游半导体的技术发展和市场需求而波动。2013-2018年,在智能手机和消费电子快速发展的推动下,半导体设备进入了一个持续上升的行业周期,市场规模从317.9亿美元增长到了645.3亿美元,5年GACR为15%。而2019年全球半导体设备支出为597.5亿美元,同比下降7.4%,增长势头稍有回落。根据SEMI预测,2020年全球半导体设备市场规模达创纪录的689亿美元,同比增长16%,2021年将达719亿美元,同比增长4.4%,2022年仍旧保持增长态势,市场将达761亿美元,同比增长5.8%。

image.png
前端和后端半导体设备都将持续增长。根据SEMI数据,晶圆制造设备预计2020年将增长15%达到594亿美元,2021年和2022年分别增长4%和6%。代工和逻辑业务约占晶圆制造设备销售总额的一半,由于先进制程的投资,今年的支出将增长15%左右,达到300亿美元。存储方面,NAND制造设备支出今年将增长30%,超过140亿美元,而DRAM有望在2021年和2022年引领增长。封装设备方面,2020年市场规模将增长20%,达到35亿美元,在先进封装应用的推动下,到2021年和2022年分别增长8%和5%。半导体测试设备销售额2020年预计增长20%,达到60亿美元,随着对5G和高性能计算应用的需求的提升,半导体测试设备在2021年和2022年将持续保持增长态势。
image.png

分地区来看,2020年中国大陆已成为全球最大的半导体设备市场。中国大陆是近年来半导体设备市场唯一保持持续增长的地区,市场规模在全球的占比逐年提升。2016-2019年,中国大陆的半导体设备市场规模从64.6亿美元增长到了134.5亿美元,3年CACR达28%,在全球市场中的占比由15.7%提升至22.5%。随着中国大陆在IC和储存领域的强劲支出,SEMI预计2020年中国大陆半导体设备市场规模将达181亿美元,同比增长34.6%,成为全球最大的半导体设备市场。我们认为在国家政策和资金支持下,2021和2022年中国大陆的半导体设备支出将持续保持高位,市场规模将保持在180亿美元。

image.png

细分市场高度集中,海外龙头处于垄断地位

全球半导体市场集中度高,各细分市场均被龙头企业所垄断。半导体设备技术壁垒高,研发周期长难度大,故半导体设备市场份额集中在少数企业。2018年全球半导体设备市场CR5为65.1%,CR10为76.3%。2019年市场集中度进一步提升,CR5高达76.5%,CR10高达91.3%。

image.png
image.png

全球半导体设备厂商主要集中在美国、日本和荷兰。美国的半导体设备厂商主要有应用材料、泛林半导体、科磊和泰瑞达,覆盖的设备主要包括晶圆制造和封测环节的刻蚀设备、离子注入机、薄膜沉积设备、掩膜版制造设备、检测设备、测试设备、清洗设备等。日本的半导体设备厂商主要包括东京电子、DNS、爱德万和日立高新,主要覆盖的设备包括刻蚀设备、薄膜沉积设备、清洗设备、热处理设备、涂胶机/显影机、退火设备、检测设备、测试设备等,另外尼康和佳能两家日本公司还可以供应中低端光刻机。荷兰的半导体设备厂为阿斯麦,阿斯麦作为全球光刻机龙头,垄断了高端光刻机市场,并且在中低端市场也占据相当份额。

image.png

半导体设备投资中晶圆加工设备占比达80%。半导体设备在新建的晶圆厂资本支出中占比为80%,而在半导体设备中晶圆加工设备占比为80%,为最主要的资本支出项目,封装测试设备占比15%,其余设备占比5%。根据前瞻产业研究院的数据,在晶圆加工设备中,刻蚀机投资占比最高达30%,其次是薄膜沉积设备占比25%,光刻机占比 23%,其余设备合计占比22%。在各细分领域中,我国半导体设备企业具备竞争力的设备主要包括刻蚀设备、薄膜沉积设备及清洗设备。
image.png
光刻机市场由荷兰ASML所垄断。光刻机是晶圆加工设备中技术壁垒最高的设备,该市场为荷兰企业ASML(阿斯麦)所垄断。全球光刻机生产商主要有ASML、尼康和佳能,其中ASML具有绝对的垄断地位。
image.png

阿斯麦主要从事半导体光刻设备的设计、制造及销售。阿斯麦总部位于荷兰Veldhoven,业务范围遍及全球,生产与研发单位则分别位于美国康乃狄克州、加州,台湾以及荷兰。阿斯麦是全球唯一的EUV光刻机生产商,2019年阿斯麦实现营业收入132.4亿美元,同比增长5.8%。近三年先进制程逻辑芯片及存储芯片等下游需求激增,为ASML的高端光刻机带来了广阔的市场空间,近三年公司营收CAGR达22.7%。

image.png
公司的垄断地位为公司带来了较高的毛利率和净利率。公司在光刻机行业的独特的垄断地位为公司带来了较高的且稳定的毛利率和净利率。2010-2019年公司毛利率始终稳定在40%~45%,净利率稳定在20%~25%之间,具备优秀的盈利能力。

image.png
阿斯麦始终保持持续的高研发投入。光刻机研发涉及数学、物理、化学等基础学科,以及机械、电气、自动化、计算机等工程学科,研发难度大,需要大量的持续的研发支出。AMSL研发费用跟随营业收入逐年提升,研发费用率常年保持在10%以上,这是ASML能够持续垄断高端光刻机,并不断满足下游客户需求的重要原因之一。2019年,ASML研发费用达到了22亿美元。

阿斯麦于2000年在上海成立分公司,于上海、北京、天津、大连、武汉等地设有客户支持办公室,在深圳设有计算光刻软件研发中心,在北京有量测设备研发中心。

image.png
泛林半导体是全球刻蚀设备龙头,市占率超过50%。泛林半导体(Lam Research)公司成立于1980年,总部位于美国加利福尼亚州福利蒙特。公司产品主要覆盖等离子蚀刻、薄膜沉积设备、清洗设备等半导体设备。公司在等离子刻蚀设备领域处于龙头地位,根据前瞻产业研究院数据,2019年公司在全球刻蚀设备市场中占比达52%。

image.png

随着先进制程和3D NAND的推进,晶圆加工对于刻蚀工艺的需求明显增多。作为刻蚀龙头,泛林半导体受益显著,2019年前营收保持高速增长。2019年全球半导体市场短暂下行,公司营收也出现了下滑,2020年重回增长轨道。2020年公司全年实现营收100.4亿美元,同比增长4%。公司在刻蚀设备方面持续保持领先,公司于2014年就已经开发出了具备ALE功能的Flex系列刻蚀机。

image.png
应用材料是平台型半导体设备龙头。应用材料(AMAT)是全球最大的半导体和显示器面板研发、制造和服务公司。应用材料股份有限公司成立于1967年,总部位于美国加利福尼亚州圣克拉拉。半导体设备为公司主要营收来源,在半导体设备领域,公司覆盖了薄膜沉积设备、刻蚀设备、离子注入设备、CMP设备以及检测设备等设备,是全球最大的半导体设备厂商,公司在薄膜沉积设备和离子注入设备领域具有垄断地位。

image.png
2020年公司营业收入高达172亿美元。受益于2020年全球晶圆加工资本支出上升,作为半导体设备龙头的应用材料实现营业收入172亿美元,同比增长17.8%,其中来自于半导体设备业务的营收为113.7亿美元,同比增长了25.9%。

image.png

国内半导体设备市场将超千亿,国产替代空间广阔

2020年国内半导体设备市场规模预计达181亿美元,同比增长34.6%。随着PC和消费电子在国内的市场不断扩大,对于集成电路的旺盛需求带来了国内对于集成电路产业的持续投资。自2013年以来国内的半导体设备市场规模不断增长,2013年国内半导体设备市场规模33.7亿美元,根据SEMI预测,2020年市场规模预计达181亿美元,七年CAGR达27%。在2019年全球半导体资本支出低迷的情况下,国内半导体设备支出仍旧保持了增长态势,市场规模达134.5亿美元。同比增长2.5%。我们认为在国家政策和资金支持下,2021和2022年中国大陆的半导体设备支出将持续保持高位,市场规模将保持在180亿美元。

2019年国产半导体设备销售额为161.82亿元,同比增长30%。其中集成电路设备销售额为71.29亿元,同比增长55.5%。而中国大陆2019年半导体设备市场规模134.5亿美元,国产化率约17%,具备较大国产替代空间。

image.png

image.png

政策资金双轮驱动,助力半导体设备国产化

国家政策大力支持半导体设备行业发展,国产替代进程加快。自“02专项”以来,国家发布了一系列政策支持我国半导体行业的发展。国家先后出台了《国家集成电路产业发展推进纲要》,《关于进一步鼓励软件产业和集成电路产业发展的若干政策的通知》等多方面为半导体产业政策给予扶持。

image.png
《新时期促进集成电路产业和软件产业高质量发展的若干政策》出台,进一步大力支持国产半导体产业发展。在半导体国产化需求迫切的情况下,2020年8月国务院发布《新时期促进集成电路产业和软件产业高质量发展的若干政策》,从财税、融资、人才、市场等多个维度支持国内半导体相关企业的发展。
image.png

国家大基金深度参与半导体设备行业。2014年国家设立了国家集成电路产业投资基金,2018年国家集成电路产业投资基金一期经投资完毕,总投资额为1387亿元,公开投资公司为23家,未公开投资公司为29家,累计有效投资项目达到70个左右,投资范围涵盖集成电路产业上、下游各个环节。大基金一期投资项目中,集成电路制造占67%,设计占17%,封测占10%,装备材料类占6%。

image.png
大基金二期将继续支持国产半导体设备发展。2019年国家集成电路产业基金二期成立,投资布局及规划方向主要有三点:第一,支持龙头企业做大做强,提升成线能力;第二,产业聚集,抱团发展,组团出海;第三,续推进国产装备材料的下游应用。

在半导体设备方面,首期基金主要完成产业布局,二期基金将对在刻蚀机、薄膜设备、测试设备和清洗设备等领域已布局的企业保持高强度的持续支持,推动龙头企业做大最强,形成系列化、成套化装备产品。加快开展光刻机、化学机械研磨设备等核心设备以及关键零部件的投资布局,保障产业链安全。充分发挥基金在全产业链布局的优势,持续推进装备与集成电路制造、封测企业的协同,加强基金所投企业间的上下游结合,加速装备从验证到“批量采购”的过程,为本土装备材料企业争取更多的市场机会。督促制造企业提高国产装备验证及采购比例,为更多国产设备材料提供工艺验证条件,扩大采购规模。

国产设备厂商奋起直追,设备国产化进行时

在国家政策和资金的大力支持下,国内涌现出一批优质的国产半导体设备厂商,具备未来与国际巨头竞争的潜力。国内主要的半导体设备厂商有:北方华创、中微公司、华峰测控、长川科技、精测电子、芯源微、至纯科技、万业企业、晶盛机电等上市公司,以及屹唐半导体、盛美半导体、华海清科、华卓精科、沈阳拓荆、中电科、睿励科学等未上市公司。

image.png

平台型国产半导体设备龙头:北方华创

北方华创是国内领先的半导体设备平台型企业。北方华创前身为七星电子,2016年七星电子和北方微电子战略重组,2017年公司正式更名为北方华创。公司是国内领先的高端电子工艺装备和精密电子元器件生产商。公司是以半导体设备为核心业务的平台型企业,主营业务包括半导体装备、真空装备、新能源锂电装备及精密元器件。

半导体设备已经成为公司核心业务。公司四大业务中,半导体设备为核心业务,营收占比逐年提升,2019年半导体设备业务营收占比为63.9%。主要产品包括刻蚀机、PVD、CVD、热处理设备和清洗机等,下游覆盖领域包括集成电路、LED、显示面板和光伏电池。

公司作为国产半导体设备主力,承担多个国家重大科技项目。北方华创作为国资背景的半导体设备国产化主力军,承担了863计划和国家02专项等多个半导体设备公关研发项目,包括刻蚀设备、PVD和CVD设备的研发和产业化,公司承担项目已部分完成验收实现产业化。

image.png

公司营业收入持续增长,净利润稳步提升。受益于下游半导体、光伏和LED行业持续发展,公司营收和盈利逐年稳步增长。2019年公司实现营业收入40.58亿元,同比增长22.1%,2016-2019年CAGR为35.7%。2019年公司实现归母净利润3.09亿元,同比增长32.2%,2016-2019年CAGR为49.3%。2020年在新冠肺炎疫情的影响下,上半年公司营收和利润仍旧保持了高速增长,2020前三季度实现营业收入38.36亿元,同比增长40.1%,实现归母净利润3.27亿元,同比增长48.9%。

image.png

公司作为平台型半导体设备企业将引领设备国产化步伐。公司覆盖多种半导体设备,其中在ICP刻蚀设备、PVD设备以及热处理设备方面最具优势。公司ICP刻蚀设备主要用于硅刻蚀和金属材料的刻蚀,28nm制程以上刻蚀设备已经实现产业化,在先进制程方面,公司硅刻蚀设备已经突破14nm技术,进入主流晶圆厂进行验证。PVD设备实现了国产集成电路领域高端薄膜制备设备零的突破,设备覆盖了90-14nm多个制程,并成功进入国际供应链体系。热处理设备方面,北方华创的立式炉、卧式炉设备达到国内半导体设备的领先水平,实现了较高的设备国产化率。

国产刻蚀设备的先行者:中微公司

中微公司是国产半导体刻蚀设备的领先企业。公司聚焦用于集成电路、LED芯片等微观器件领域,深耕芯片制造刻蚀领域,研制出了国内第一台电介质刻蚀机,公司核心产品包括用于IC集成电路领域的等离子体刻蚀设备和用于LED芯片领域的MOCVD设备。

公司刻蚀设备主要为CCP刻蚀设备。公司从2004年建立起首先着手开发甚高频去耦合的CCP刻蚀设备Primo D-RIE,到目前为止已成功开发了双反应台Primo D-RIE,双反应台Primo AD-RIE和单反应台的Primo AD-RIE三代刻蚀机产品,涵盖65纳米、45纳米、32纳米、28纳米、22纳米、14纳米、7纳米到5纳米关键尺寸的众多刻蚀应用。另一方面,公司从2012年开始开发ICP刻蚀设备,到目前为止已成功开发出单反应台的Primo nanova刻蚀设备,同时着手开发双反应台ICP刻蚀设备。
image.png
image.png
公司刻蚀设备已经进入国内外先进制程生产线。中微公司是国内唯一进入台积电先进制程生产线的国产设备厂商,2017年中微刻蚀设备进入台积电先进7纳米生产线,5nm制程正在展开合作。同时,公司的刻蚀设备进入了长江存储、华虹宏力等国内晶圆制造厂商。

image.png

公司营业收入和净利润保持高速增长。受益于国内晶圆代工和存储产线持续增长的资本支出,公司在过去三年营业收入和净利润高速增长。2020年前三季度,公司实现营业收入14.76亿元,同比增长21.2%,实现归母净利润2.77亿元,同比增长105%。

公司作为国产刻蚀设备龙头,将充分受益于先进制程和3D NAND发展。随着先进制程尺寸发展,对于刻蚀次数和刻蚀工艺的要求越来越高。工艺节点不断减小,对于刻蚀设备刻蚀速率、各异向性、选择比、深宽比等技术参数要求也越来越高。另一方面,3D NAND层数的不断增加,对刻蚀的高深宽比提出了更高的要求。综合来看,刻蚀设备未来在晶圆厂的资本支出中占比将逐渐提高,公司将深度受益。

深耕测试机领域的国产设备商:华峰测控

华峰测控是国内最大的半导体测试机本土供应商。公司也是为数不多进入国际封测市场供应商体系的中国半导体设备厂商,主营业务为半导体自动化测试系统的研发、生产和销售,产品主要用于模拟及混合信号类集成电路的测试。公司自成立以来,始终专注于半导体自动化测试系统领域,以其自主研发的产品实现了模拟及混合信号类集成电路自动化测试系统的进口替代。

image.png

华峰测控专注于模拟测试机领域,国内市占率约60%。公司专注于模拟测试件和数字混合模拟测试机的研发,在国内多个知名企业取得订单,并且产品成功进入国际封测厂商。封测领域的客户主要包括长电科技、通富微电、华天科技、日月光集团;芯片设计领域的客户包括华为、芯源系统;IDM领域包括意法半导体、华润微电子等。
image.png

公司营收盈利稳定增长,毛利率保持较高水平。公司2020年前三季度实现营业收入2.93亿元,同比增长45.5%,实现归母净利润1.37亿元,同比增长68%,营业收入和归母净利润均已经超过2019年全年水平。公司始终保持着80%左右的整体毛利率,净利率也在40%以上,盈利能力强。

image.png

募投项目为公司打开成长空间。公司持续保持较高的研发投入,研发费用率始终保持在11%以上。2020年2月公司于科创板上市,募集资金用于扩大主力产品模拟测试机生产规模,同时发展SoC和大功率测试机测试机业务。项目建成后将达到年产800台模拟及混合信号类ATE和年产200台SoC类ATE的生产能力,为公司打开新的成长空间。

image.png

参考资料来自:德邦证券、驭势资本研究所

END

驭势资本是一家以研究驱动的硬科技精品投资银行,深耕集成电路5G物联网数据智能汽车科技领域,为顶尖的科技创业者提供专业资本服务。核心团队在硬科技领域拥有丰富的投融资经验,先后投资及服务的项目包括翱捷科技、臻驱科技、微众银行、微医集团、宏晶科技、晟矽微电子、地大信息、曼荼罗、美林数据、事成股份.
原文链接:https://mp.weixin.qq.com/s/EZ...
微信号
yushi.jpg


更多硬科技领域的技术和市场分析请关注驭势资本专栏。
推荐阅读
关注数
967
内容数
62
驭势资本是一家以研究驱动的硬科技精品投资银行,深耕集成电路、5G、物联网、数据智能和汽车科技领域,为顶尖的科技创业者提供专业资本服务。
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息