马毅煌@驭势资本 · 2021年02月22日

全球光刻机龙头是怎样炼成的

640 (8).gif

ASML

光刻机:半导体工业皇冠上的明珠

半导体芯片制作分为IC设计、IC制造、IC封测三大环节,光刻作为IC制造的核心环节,其主要作用是将掩模版上的芯片电路图转移到硅片上。由于光刻的工艺水平直接决定芯片的制程水平和性能水平,光刻成为IC制造中最复杂、最关键的工艺步骤,光刻的核心设备——光刻机更是被誉为半导体工业皇冠上的明珠。

光刻:半导体制造的核心工艺

光刻工艺是指光刻胶在光照作用下,将掩模版上的图形转移到硅片上的技术。光刻的原理起源于印刷技术中的照相制版,是在一个平面上加工形成微图形。在半导体芯片制作过程中,电路设计图首先通过激光写在光掩模版上,然后光源通过掩模版照射到附有光刻胶的硅片表面,引起曝光区域的光刻胶发生化学效应,再通过显影技术溶解去除曝光区域或未曝光区域,使掩模版上的电路图转移到光刻胶上,最后利用刻蚀技术将图形转移到硅片上。

image.png
image.png

光刻根据所采用正胶与负胶之分,划分为正性光刻和负性光刻两种基本工艺。在正性光刻中,正胶的曝光部分结构被破坏,被溶剂洗掉,使得光刻胶上的图形与掩模版上图形相同。相反地,在负性光刻中,负胶的曝光部分会因硬化变得不可溶解,掩模部分则会被溶剂洗掉,使得光刻胶上的图形与掩模版上图形相反。
image.png

为了追求更小的工艺节点,在普通光刻之上已开发出多重图案光刻工艺,用来增加图案密度,最简单的多重图案工艺是双重图案,它将特征密度提高了两倍。最广泛采用的双图案化方案之一是双曝光/双蚀刻(LELE)。该技术将给定的图案分成两个密度较小的部分。通过在光刻工艺中曝光光刻胶,然后蚀刻硬掩模,将第一层图案转移到下面的硬掩模上。然后将第二层图案与第一层图案对准并通过第二次光刻曝光和刻蚀转移到硬掩模上。最终在衬底上进行刻蚀,得到的图案密度是原始图案的两倍。

image.png

自对准双重图案(SADP)技术是通过沉积和刻蚀工艺在心轴侧壁上形成的间隔物。然后通过一个额外的刻蚀步骤移除心轴,使用间隔物来定义所需的最终结构,因此特征密度增加了一倍。SADP技术主要用于FinFET技术中的鳍片形成、线的互连以及存储设备中的位线/字线的形成,其关键的优点在于避免了在LELE期间时可能发生的掩模不对齐。

image.png

将SADP加倍可以得到四重图案化工艺(SAQP)。193nm 浸没式光刻的SADP可以实现~20nm的半间距分辨率,但是SAQP可以实现~10nm的半间距分辨率。

image.png
光刻工艺定义了半导体器件的尺寸,是IC制造中的关键环节。作为芯片生产流程中最复杂、最关键的步骤,光刻工艺难度最大,耗时最长,芯片在生产过程中一般需要进行20~30次光刻,耗费时间约占整个硅片工艺的40~60%,成本极高,约为整个硅片制造工艺的1/3。一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。

光刻机:光刻工艺的核心设备

光刻机是光刻工艺的核心设备,价值含量大、技术要求高。光刻是IC制造中的关键环节,工艺难度最大,对技术和设备的要求也最高。光刻机作为光刻环节的核心设备,也是所有半导体制造设备中技术含量最高的设备,涉及精密光学、精密运动、高精度环境控制等多项先进技术,其设备投入相应最多,目前世界上最先进的ASML EUV光刻机单价达到近一亿欧元。

光刻机工作原理:光刻机是一种投影曝光系统,由紫外光源、光学镜片、对准系统等部件组装而成。在半导体制作过程中,光刻设备会投射光束,穿过印着图案的掩模及光学镜片,经物镜补偿各种光学误差,将线路图曝光在带有光感涂层的硅晶圆上,然后使用化学方法显影,得到刻在硅片上的电路图。在光刻机内部结构中,激光器作为光源发射光线,物镜系统补偿各种光学误差,是光刻机的核心设备,也是光刻机造价昂贵的重要原因,光刻机物镜系统一般由15~20个直径为200~300mm的透镜组成。
image.png

image.png

光刻机的演变

按半导体制造工序分类,光刻设备有前道和后道之分。其中前道光刻机又可根据下游适用产品分为面板光刻机和芯片光刻机,而后道光刻机则为封装光刻机。封装光刻机对于光刻的精度要求远远低于前道光刻要求,因此价值量也较低,不属于本文探讨之列。而面板光刻机与芯片光刻机工艺类似,只不过不再作用于晶圆而是作用于薄膜晶体管,对技术精度要求也不如后者,只需要达到微米级即可。本文主要关注IC前道制造光刻技术的演变。

尺寸更小的芯片,在电子速度一定的情况下,信号传递的速度就会越快,在一定时间内传输的信息就会越多。随着芯片尺寸的变小,相同面积下可以承载更多的晶体管,高集成度则意味着芯片的高性能。可见晶体管的尺寸对于芯片的性能具有重大意义,而光刻机决定了晶体管的尺寸。随着半导体产业的向前发展,不断追求着尺寸更小、速度更快、性能更强的芯片,摩尔定律提出:当价格不变时,集成电路上可容纳的元器件的数目,约每隔18-24个月便会增加一倍,性能也将提升一倍。正是半导体行业对于芯片的不断追求推动了光刻机产品的不断升级与创新。
image.png
按曝光方式分类,光刻机可分为直写式光刻、接近接触式光刻和投影式光刻三种。直写式由于曝光场太小,通常用于制作掩模板;接近接触式是指光刻胶与掩模板接触或略有缝隙,受气垫影响,成像精度较低;投影式是指在掩膜板与光刻胶之间使用光学系统聚集光实现曝光,进一步提高分辨率。芯片追求更快的处理速度,则需要缩短晶体管内部导电沟道的长度,而光刻设备的分辨率决定了IC的最小线宽。因而,光刻机产品的升级就势必要往更小分辨率水平上发展,光刻机演进过程是随着光源改进和工艺创新而不断发展的。

image.png

根据所用光源改进和工艺创新,光刻机经历了5代产品发展,每次改进和创新都显著提升了光刻机所能实现的最小工艺节点。前两代均为接触接近式光刻机,曝光方式为接触接近式,使用光源分别为g-line和i-line,接触式光刻机由于掩模与光刻胶直接接触,所以易受污染,而接近式光刻机由于气垫影响,成像精度不高;第三代为扫描投影式光刻机,利用光学透镜可以聚集衍射光提高成像质量将曝光方式创新为光学投影式光刻,以扫描的方式实现曝光,光源也改进为KrF激光,实现了跨越式发展,将最小工艺推进至180-130nm;1986年ASML首先推出第四代步进式扫描投影光刻机,采用ArF激光光源,通过实现光刻过程中掩模和硅片的同步移动和缩小投影镜头,将芯片的最小工艺节点提升一个台阶。

image.png

此外双工作台、沉浸式光刻等新型光刻技术的创新与发展也在不断提升第四代光刻机的工艺制程水平,以及生产效率。2001年ASML推出了双工作台系统,将测量、对准与光刻流程相分离,实现曝光与预对准同时进行,大幅提高了生产效率。而浸没式光刻工艺更成为ASML强势崛起的转折点。与传统光刻技术相比,浸没式光刻技术需要在光刻机投影物镜最后一个透镜下表面与硅片光刻胶之间充满高折射率的液体,以提高分辨率;目前主要有三种液体浸没方法:硅片浸没法,工作台浸没法,局部浸没法,业界多采用局部浸没法。

image.png
image.png

尼康、佳能由盛转衰,ASML强势崛起。在45nm制程下ArF光刻机遇到了分辨率不足的问题,业内对下一代光刻机的发展提出了两种路线。一是开发波长更低的157nmF2准分子激光做为光源,二是林本坚(台积电研发副总经理)提出的浸没式光刻。2002年以前,业界普遍认为193nm光刻无法延伸到65nm技术节点,而157nm将成为主流技术,但157nm光刻技术同样遭遇到了来自光刻机透镜的巨大挑战。在时代的十字路口上,TSMC提出了193nm浸入式光刻的概念,尼康、佳能则倒向了开发波长更低的光源;随着ASML与台积电合作开发,于2007年成功推出第一台浸没式光刻机。193nm光波在水中的等效波长缩短为134nm,足可超越157nm的极限,193nm浸入式光刻的研究随即成为光刻界追逐的焦点。到2010年,193nm液浸式光刻系统已能实现32nm制程产品,并在20nm以下节点发挥重要作用,浸没式光刻技术凭借展现出巨大优势,成为EUV之前能力最强且最成熟的技术。

image.png
从液浸式到EUV,第五代光刻机迎头赶上。前四代光刻机使用都属于深紫外光,ArF已经最高可以实现22nm的芯片制程,但在摩尔定律的推动下,半导体产业对于芯片的需求已经发展到14nm,甚至是7nm,浸入式光刻面临更为严峻的镜头孔径和材料挑战。第五代EUV光刻机,采用极紫外光,可将最小工艺节点推进至7nm。

image.png

EUV的发展过程面临有五大问题,这也是EUV造价极其昂贵的重要原因。

第一,真空环境约束。光蚀刻系统制造的精细程度取决于很多因素。但是实现跨越性进步的有效方法是降低使用光源的波长。几十年来,光刻机厂商的做法都是将晶圆曝光工具从人眼可见的蓝光端开始逐渐减小波长,直到光谱上的紫外线端(UV)。ASML最终选择的13.5nm波长射线,可以轻易地被很多材料吸收,所以EUV光刻机只能在真空下运行。

第二,弯曲射线。由于EUV能被玻璃吸收,所以必须在机器中改变其走向,如此一来则必须用反射镜来代替透镜,而且必须使用布拉格反射器(一种多层镜面,可以将很多小的反射集中成一个单一而强大的反射)。

第三,强大光源。一个EUV光束在经过长途跋涉后,只有不到2%的光线能保留下来。为了减少成本,射线光源必须足够强,这个强度需要达到中心焦点功率达到250W。这种强度的光可以使机器每小时处理约125个晶片,其批量处理的效率仅有现今使用的高级193nm技术的一半。目前全球最领先的技术也不过是能够在实验室中实现200W功率(ASML2017年上半年实现)。

image.png

第四,独特光刻胶。现有的光刻胶是化学放大光刻胶,由分子链聚合而成,可以增强入射光子的效果。但这些材料对EUV的吸收效果并不好。此外,由于入射光引起的放大反应在材料内部散射,光刻胶形成的图像会有轻微模糊。

第五,保护掩模板。在193nm液浸式光刻机中,掩模版由一层被薄膜(即护膜)保护着,这层薄膜距离掩模版有一点悬空的距离,像保鲜膜一样紧绷在上方,其作用在于当灰尘落在护膜上时影响聚焦而不能在晶圆上形成图案,因此不会损坏整个晶圆。但193nm的护膜不适用于13.5nm的光,EUV会损坏护膜,若不使用护膜则很可能是最终良率为0。所以解决这个难题的关键在于研究制造出能够抵抗EUV破坏的护膜。

image.png

事实上,ASML从1999年就已开始EUV光刻机的研发工作,但由于上述五大难题,难以支付高昂的研发费用,其三大客户三星、台积电和英特尔加大投资52亿欧元,积极支持EUV的研发和生产。原计划在2004年推出产品,直到2010年ASML才研发出第一台EUV原型机,2016年才实现下游客户的供货,比预计时间晚了十几年,也正是这一滞后使得摩尔定律的更替时间从理论上的18-24个月延长至3-4年。目前,ASML在EUV技术上具有绝对领先地位。

半导体

复盘:ASML如何通过光刻产业链垄断全球光刻机市场

浸没式技术与EUV光刻产业链构建成为ASML发展的两大里程碑事件。上世纪50年代末,仙童半导体发明掩膜版曝光刻蚀技术,拉开了现代光刻机发展的大幕,在ASML成立之前,光刻机光源还是以高压汞灯光源(g-line/i-line)为主,ArF、KrF等准分子激光光源概念刚刚被提出,光刻机工艺技术从接触式、接近式发展到步进投影式。目前ASML在浸没式DUV光刻机市占率达97%,EUV光刻机市占率100%,按营收计算为全球第二大半导体设备公司。复盘ASML过往36年发展历程,面对美、日等竞争对手,ASML主要通过两个关键节点成为全球霸主,分别为浸没式系统的使用和EUV产业链的构建。根据这两个节点,可将ASML的发展分为三个过程:

1、1984年成立到20世纪末:凭借PAS5500系列在i-line、干法准分子光源光刻领域占有一席之地;

2、21世纪初的10年:依靠浸没式光刻技术弯道超车,一举击溃尼康,成为全球光刻机头号厂商;

3、2010年以后,打通EUV产业链,推出EUV光刻机,成为高端光刻市场绝对垄断玩家。

ASML成立之前:光刻机即将进入准分子激光时代,美国三雄称霸光刻市场

i-line与步进投影为光刻主流技术。1960年代,位于加州硅谷的仙童半导体发明了至今仍在使用的掩膜版光刻技术。70年代初,Kasper仪器公司发明接触式对齐机台,但随后接近式光刻机台逐渐淘汰接触式机台。1973年,拿到美国军方投资的Perkin Elmer公司推出了投影式光刻系统,搭配正性光刻胶非常好用而且良率颇高,因此迅速占领了市场。1978年,GCA推出真正现代意义的自动化步进式光刻机(Stepper)GCA8500,分辨率比投影式高5倍达到1微米。1980年尼康发售了自己首台商用Stepper NSR-1010G(1.0um),拥有更先进的光学系统(光源还是i-line)极大提高了产能。与GCA的stepper一起统治主流市场。1982年,IBM的Kanti Jain开创性的提出准分子激光光刻(光源为KrF和ArF)。

image.png

美国三雄统治1980年之前的光刻机市场,日本佳能、尼康抓住产业转移机会接棒。美国作为半导体技术的诞生地,自然汇集了光刻机产业早期的垄断霸主,1980年代前的全球光刻机市场主要被三家美国光刻机厂商GCA、Ultratech和P&E垄断。1980年代末全球半导体市场遭遇危机,日本的尼康和佳能抓住同时期日本半导体产业大发展的机遇,取代三家美国光刻机厂商成为国际光刻机市场的主导者。尤其是尼康,从80年代后期开始市场占有率便超过50%,一直到ASML崛起为止;佳能则凭借对准器的优势也占领了一席之地。而三家美国光刻机厂商GCA、Ultratech和P&E则均因为严重的财务问题而被收购或被迫转型。

image.png

1984-2000:PAS5500帮助公司立足全球光刻市场

ASML成立于1984年,脱胎于飞利浦实验室。ASML成立于1984年,由菲利普和覆盖沉积、离子注入、封装设备的ASMI合资创办,主营业务来源于菲利普原本计划关停的光刻设备业务。在ASML成立的1984年,尼康和GCA分别占国际光刻机市场三成,Ultratech占约一成,Eaton、P&E、佳能、日立等均不到5%。1988年,ASML跟随飞利浦在台湾的合资流片工厂台积电开拓了亚洲业务,彼时,刚刚成立不久的台积电为ASML送来急需的17台光刻机订单,使得ASML的国际化拓展初见成功。尽管如此,在异常激烈的市场竞争下,初创期的ASML还不能完全自立,产品没有明显技术优势,客户数量屈指可数。在1980年代末的半导体市场危机中,由于投资巨大且短期内难以看到回报,ASML的两大股东ASMI和飞利浦均有退出投资的倾向,但最后ASMI将股权出售给飞利浦公司,后者则继续支持ASML的光刻设备业务。

凭借PAS5500系列获得突破,开拓新兴市场,与日本厂商差距缩小。1991年,ASML推出PAS5500系列光刻机,这一设计超前的8英寸光刻机具有业界领先的生产效率和精度,成为扭转时局的重要产品。PAS5500为ASML带来台积电、三星和现代等关键客户,通过对PAS5500,大多数客户建立起对ASML产品的深厚信任,并决定几乎全部改用ASML的光刻设备,到1994年,公司市占率已经提升至18%。1995年ASML分别在阿姆斯特丹及纽约上市。ASML利用IPO资金进一步扩大研发与生产规模,其中扩建了位于荷兰埃因霍温的厂房,现已成为公司新总部。市场策略方面,尼康与佳能正携上位之余威,加速占领美国市场。而ASML则避其锋芒,将重点放在新兴市场,在欧洲、中国台湾、韩国等地区攻城略地。由于ASML多方面主动出击,公司获得了极大的发展。1999年公司营收首次突破10亿欧元,达到12亿欧元;而2000年时营收更是翻了两倍以上,达到27亿欧元。

image.png

image.png

image.png

2001-2010:双工作台技术提升效率,先发浸没式系统打败尼康、佳能

Twinscan双工件台系统将生产效率提升35%,精度提升10%。在2000年前的光刻设备只有一个工作台,晶圆片的对准与蚀刻流程都在上面完成。ASML公司在2001年推出的Twinscan双工件台系统,在对一块晶圆曝光的同时测量对准另外一块晶圆,从而大大提升了系统的生产效率和精确率,并在第一时间得到结果反馈,生产效率提高大约35%,精度提高10%以上。双工件台对转移速度和精度有非常高的要求,ASML独家开发出磁悬浮工件台系统,使得系统能克服摩擦系数和阻尼系数,其加工速度和精度明显超越机械式和气浮式工件台。双工件台技术几乎应用于ASML所有系列的光刻机,成为ASML垄断的隐形技术优势。
image.png

浸没式系统打破光源波长瓶颈。光刻设备中最初采用的干式微影技术沿用到上世纪90年代(镜头、光源等一直在改进),然后遇到瓶颈:始终无法将光刻光源的193nm波长缩短到157nm。为缩短光波长度,全球半导体产业精英及专家,提出了多种方案,其中包括157nm F2激光、电子束投射(EPL)、离子投射(IPL)、EUV(13.5nm)和X光。但这些方案要么需要增大投资成本,要么以当时的技术难以实现(比如极紫外(EUV)光刻)。各大厂家都只能对干法系统进行微小升级,且均无法在市场中占据完全主导地位。2002年,时任台积电研发副总、世界微影技术权威林本坚博士提出了一个简单解决办法:放弃突破157nm,退回到技术成熟的193nm,把透镜和硅片之间的介质从空气换成水,由于水对193nm光的折射率高达1.44,那么波长可缩短为193/1.44=134nm,大大超过攻而不克的157nm。

image.png

ASML率先突破浸没式系统,自此引领全球光刻市场。由于尼康已经在157nm F2激光和电子束投射(EPL)上付出了巨大的沉没成本,因此没有采纳这一捷径。而ASML抓住机会,决定与台积电合作,在2003年开发出了首台样机TWINSCAN AT:1150i,成功将90nm制程提升到65nm。同期尼康宣布采用干式微影技术的157nm产品和电子束投射(EPL)产品样机研制成功。但阿斯麦的产品相对于尼康的全新研发,属于改进型成熟产品,半导体芯片厂应用成本低,设备厂商只需对现有设备做较小的改造,就能将蚀刻精度提升1-2代,而且缩短光波比尼康的效果还好(多缩短25nm)。因此,几乎没有厂商愿意选择尼康的产品,尼康溃败由此开始。在后期,尼康也选择调转方向研发浸没式光刻系统,并推出NSR-S622D、NSR-S631E、NSR-S635E等产品,但半导体产业更新换代迅速,而新产品总是需要至少1-3年时间由前后道多家厂商通力磨合。ASML在浸没式系统上的领先比尼康多了时间去改善问题和提高良率。导致尼康产品可靠性始终落后于ASML,也是从此刻,代表日本高端光刻机的尼康逐渐败给了日后的高端光刻龙头ASML。

image.png

利用浸没式系统持稳固竞争优势。2006年,ASML首台量产的浸入式光刻机TWINSCAN XT:1700i发布,该光刻机比之前最先进的干法光刻机分辨率提高了30%,可以用于45nm量产。2007年,阿斯麦配合台积电的技术方向,发布首个采用193nm光源的浸没式光刻系统TWINSCAN XT:1900i,由此一举垄断市场。得益于浸没式光刻,ASML光刻机销量占全球销量比例从2001年的25.0%上升2010年的68.9%。ASML和台积电的合作也更为紧密。反过来,选择ASML产品的台积电、三星、英特尔也在之后不断突破制程束缚,成为世界半导体制造豪强。随着工艺进步,浸没式光刻的诸多缺点也被ASML一一解决,缺陷率和产能都有较好改善,目前仍未主流的光刻机型之一。

image.png

积极改进浸没式系统,推进制程极限至7/5nm。到了2010年后,制程工艺尺寸进化到22nm,已经超越浸没式DUV的蚀刻精度。在EUV技术取得应用突破之前,包括ASML在内的相关企业也在积极改进浸没式光刻系统。从设备、工艺和器件方面多管齐下,开发出高NA镜头、多光罩、FinFET、两次曝光、Pitch-split、波段灵敏光刻胶等技术。目前,对于ASNL最先进的浸没式光刻机Twinscan NXT:2000i,在各种先进工艺与材料的配合下,制程极限已达7/5nm。这使得浸没式光刻系统在EUV面世前得以继续延续摩尔定律,并促进ASML进一步拉开与尼康、佳能的差距。中国首台Twinscan NXT:2000i已于2018年12月正式搬入SK海力士位于无锡的工厂。

image.png
image.png
image.png
image.png
image.png
image.png

2010-至今:打通EUV光刻产业链,成为全球EUV光刻机独家供应商

13.5nm引领下一代光源,新技术面临巨大挑战。下一代 EUV光刻系统采用波长为13.5nm的极紫外光作为曝光光源,是之前193nm的1/14。该光源被称为激光等离子体光源,是通过用高功率二氧化碳激光器激发锡(Sn)金属液滴,通过高价Sn离子能级间的跃迁获得13.5nm波长的辐射。除上文所述问题外,该光源的稳定性和聚光元件的保护也是巨大的挑战,因为用于激发的激光器本身存在抖动,激光与等离子体作用时产生的污染将会对光源聚光元件造成影响和破坏。EUV光源的技术基本只掌握在美国 Cymer公司手中。

image.png

EUV光刻机——顶级科学与顶级制造的结合。EUV波长只有13.5nm,穿透物体时散射吸收强度较大,这使得光刻机的光源功率要求极高,此外机器内部需是真空环境,避免空气对EUV的吸收,透镜和反射镜系统也极致精密,配套的抗蚀剂和防护膜的良品率也需要更先进技术去提升,一台EUV光刻机重达180吨,超过10万个零件,需要40个集装箱运输,安装调试都要超过一年时间。总之,EUV光刻机几乎逼近物理学、材料学以及精密制造的极限。所以EUV不仅是顶级科学的研究,也是顶级精密制造的学问。

image.png

2010年首发EUV光刻机,目前成为全球唯一一家EUV光刻机供应商。2010年,ASML首次发售概念性的EUV光刻系统NXW:3100,从而开启光刻系统的新时代。2013年,ASML发售第二代EUV系统NXE:3300B,但是精度与效率不具备10nm以下制程的生产效益;2015年又推出第三代EUV系统NXE:3350。2016年,第一批面向制造的EUV系统NXE:3400B开始批量发售,NXE:3400B的光学与机电系统的技术有所突破,极紫外光源的波长缩短至13nm,每小时处理晶圆125片,或每天可1500片;连续4周的平均生产良率可达80%,兼具高生产率与高精度。2019年推出的NXE:3400C更是将产能提高到每小时处理晶圆175片。目前,ASML在售的EUV光刻机包括NXE:3300B和NXE:3400C两种机型。

image.png

EUV成功来源于ASML光刻机上游产业链的贯通。在EUV光刻机超过10万个零件之中,来自硅谷光科集团的微激光系统、德国蔡司的镜头和Cymer的EUV光源是最重要的三环。1997年英特尔牵头创办了EUV LLC联盟,随后ASML作为惟一的光刻设备生产商加入联盟,共享研究成果;2000年,ASML收购了美国光刻机巨头SVGL(硅谷光刻集团);2012年ASML收购EUV光源提供商Cymer,此前Cymer就和ASML合作已久;2016年ASML公司取得光学镜片龙头德国蔡司24.9%的股份,以加快推进更大数值孔径(NA)的EUV光学系统。这些收购使得ASML几乎参与了整个EUV光刻上游产业链。但收购美国企业的过程使ASML必须同意在美国建立一所工厂和一个研发中心,以此满足所有美国本土的产能需求,另外,还需要保证55%的零部件均从美国供应商处采购,并接受定期审查,这也为日后ASML向中国出口光刻机受到美国管制埋下伏笔。

image.png

EUV设备在下游市场供不应求。由于上游零部件供应不足(如蔡司的镜头),ASML的EUV光刻机产量一直不高,而下游市场对7nm制程的需求却十分旺盛。2011年英特尔、三星和台积电共同收购ASML 23%的股权,帮助ASML提升研发预算,同时也享受EUV光刻机的优先供应权。近年来,ASML已经出货的EUV光刻机主要优先供应给台积电、三星、英特尔等有紧密合作关系的下游厂商。目前所有中国企业中,只有中芯国际向ASML订购了一台EUV光刻机,原计划于2019年交付,但由于2018年底ASML的元件供应商Prodrive工厂的部分库存、生产线被火灾摧毁,再加上2020年疫情原因,直到现在ASML的EUV设备还未向中芯国际交付。目前预计这批设备最快在2020年底前完成装机。

image.png

ASML光刻机已经覆盖EUV销量、价格节节攀升。自2010年第一台EUV光刻机面世起,ASML的EUV光刻机出货量呈增长趋势,尤其是2017年开始大幅增加产能,到2019年已经实现年出货量26台。而如上文所述,EUV十分复杂的结构与系统使得其单价也逐年攀升,2019年ASMLEUV光刻机小猴26台,占光刻机销售量的11.4%,销售金额达30亿欧元,占光刻机销售金额的33.6%。EUV光刻机单价更是达到了惊人的1.15亿欧元/台,约合1.3亿美元,9.2亿人民币,是浸没式光刻机价格的两倍。

image.png
image.png

image.png
image.png

光刻机市场:上游供给不足,下游需求强劲

光刻机上下游市场。从光刻机结构来看,它由光源、光学镜片和对准系统等部件组成,其工艺中十分关键的两个元素是光刻胶和掩膜版。而光刻处理后的晶圆片再经刻蚀和沉积等过程制成芯片成品,用于电脑、手机等各种设备之中。下游旺盛的终端市场需求决定了光刻设备必然也面临巨大的需求。目前光刻系统市场供给远远不足需求,很重要的原因在于上游原材料/部件精度不符要求,譬如上文总结出的EUV面临的五大问题(光源功率、掩膜版、光刻胶、镜头等)都是上游技术难关。除了来自蔡司的镜头的供应不足之外,还有设备上的芯片保护膜仍需要改进。此外,光刻作用基础硅片/硅基材纯度要求极高,通常11个9(即99.999999999%)的级别以上。光刻设备厂商的下游客户主要在于存储和逻辑芯片制造商。我们认为未来下游内存市场需求将继续保持强劲,存储芯片尤其是DRAM价格仍然持续增长。

image.png

ASML

全球局势:三分天下,高端市场一家独大

从全球角度来看,高精度的IC芯片光刻机长期由ASML、尼康和佳能三家把持,从2011-2017历年全球光刻机出货比例可以看出,ASML,尼康,佳能三家公司几乎占据了99%的市场份额,其中ASML光刻机市场份额常年在60%以上,市场地位极其稳固。

image.png

image.png

顶级光刻机市场ASML一家独大。2011-2017年顶级光刻机累计出货量中,EUV完全由ASML垄断,出货来源达到100%,ArFi光刻机超过80%也都由ASML提供。英特尔、台积电、三星用来加工14/16nm芯片的高端光刻机均来自ASML。相对而言,尼康和佳能的先进制程远落后于ASML,主要市场在中低端,最大优势仅在于成本,很多同类机型价格甚至低于ASML的1/2。
image.png
image.png

ASML:高端光刻机垄断者

ASML Holding NV(ASML)是世界领先的半导体设备制造商之一,总部位于荷兰,向全球复杂集成电路生产企业提供领先的综合性关键设备。它为亚洲,欧洲和美国的半导体生产商提供提供光刻机及相关服务。它还为客户提供一系列的支持服务,包括先进的工艺和产品应用知识,并以二十四小时服务支持。2006年,ASML交付第一台光刻机;2007年成功推出第一台浸没式光刻机TWINSCANXT:1990i,采用折射率达到1.44的去离子水做为媒介,实现了45nm的制程工艺,并一举垄断市场。当时的另两大光刻巨头尼康、佳能主推的157nm光源干式光刻机被市场抛弃。

image.png

外延并购,加速研发。ASML为加速EUV发展,ASML于2013年5月以31亿欧元收购Cymer。2016年,ASML终于实现首次发货EUV,并预计在2018年可实现最新的微处理器和存储器的批量生产。同时,2016年6月收购拥有最先进的电子束检测技术厂商HMI,与ASML现有曝光技术互补,有助于控制半导体产业良率。2017年,以24.8%股权收购镜头老字号生产商卡尔蔡司,进一步为其EUV光刻设备的镜头部分提供竞争力。

公司的主要产品是光刻系统,也称为扫描仪,有PAS5500和TWINSCAN系列产品,从低端到高端系列依次为XT,NXT和NXE。另外近年来还推出测量工具YieldStar。其技术实力在光刻设备领域遥遥领先,根据半导体行业观察数据,45nm以下的高端光刻机的市场中,占据80%以上的份额,尤其在极紫外光(EUV)领域,目前处于垄断地位。
image.png

ASML 2018Q1实现营收22.85亿欧元,主要来自系统销售,占比73%;其中ArF浸没式设备贡献72%的营收,KrF型以14%的贡献居于第二。平均来说,ASML中高端设备单台售价超过7000万美元,高端EUV设备单台售价超过1亿美元。从Q1业务拆分情况看出,高价值的EUV销售量仅一台就贡献7%的营收,公司预计2018全年EUV收入将达到21亿欧元。从终端市场看来,主要下游市场在于存储芯片,营收1227百万欧元,占比达53.7%,较之2017年的32.8%有很大提升。

image.png

image.png

FY2017前三大下游市场是韩国、台湾、美国,占比分别达到34%、24%、17%,主要原因在于ASML的三大主要客户为三星、台积电和英特尔。大陆市场位居第四,营收占比为10%,达9.21亿欧元。到2018Q1,格局发生了较大变化,韩国市场比重跃升到51%,主要原因在于三星加大EUV投资。大陆市场购买高端机型的限制逐渐放开,占比提升到20%,美国和台湾市场占比有较大下滑。

image.png

image.png

公司营业收入和净利润始终保持较高水平,但变化幅度较大。自2016年真正意义上推出EUV设备后,营收和净利润实现大幅增长。自2013年以来毛利率和净利率均实现稳步增长,18Q1毛利率达48.7%,净利率达23.6%。

image.png
image.png

其中系统设备尤其是光刻设备贡献在各个季度均超过 60%,2018Q1设备营收环比有所下降,但同比实现 37.2%增长YoY。光刻机订单量与订单额有类似的趋势。

image.png
image.png

ASML在光刻设备市场具有不可撼动的霸主地位,尼康和佳能难以与之抗衡的一大重要原因在于其积极研发和开放式创新发展思路,在新品研发和工艺改进上充分发挥其网络创新优势,比佳能和尼康的“孤岛式”研发模式更具效率和灵活性。

image.png

image.png

尼康:发挥面板光刻比较优势

尼康(7731.T)是日本的一家著名相机制造商,成立于1917年,当时名为日本光学工业株式会社。1988年该公司依托其照相机品牌,更名为尼康株式会社。最早通过相机和光学技术发家,1980年开始半导体光刻设备研究,1986年推出第一款FPD光刻设备,如今业务线覆盖范围广泛。尼康既是半导体和面板光刻设备制造商,同时还生产护目镜,眼科检查设备,双筒望远镜,显微镜,勘测器材等健康医疗和工业度量设备。

image.png

在FPD光刻方面,尼康则可发挥其比较优势,尼康的机器范围广泛,从采用独特的多镜头投影光学系统处理大型面板到制造智能设备中的中小型面板,为全球领先的制造商提供多样化的机器。

image.png

尼康FY2017营收5.25千亿日元,同比下降7.2%,在成像产品和精密设备(光刻设备)领域利润均有增长,经营利润达4.15百亿日元,增长123.2%,归母净利润达2.23百亿日元,增长56.7%。2018Q3营收下降11%,由于成像产品和FPD及芯片光刻设备单位产品销售额下降,但成像产品业务高附加值产品和精密设备领域的重大技术突破带来了经营利润上涨。预计全年营收下降7%,主要在于FPD光刻设备单位产品销售额下降,但成像产品和芯片光刻设备扭转了上半年的败局,使得经营利润增加124%。

image.png

image.png

尼康虽然在芯片光刻技术上远不及ASML,目前的产品还停留在ArF和KrF光源,且售价也远低于ASML,和EUV更加难以相提并论。但目前其盈利性也很大程度上依赖光刻设备,尤其是芯片光刻设备。虽然研发投入也持续增长,但其中对于光刻设备的投入比重却在下降。

image.png

image.png

佳能:光电为主,光刻为辅

佳能(CAJ.N)是日本的一家全球领先的生产影像与信息产品的综合集团,1937年凭借光学技术起家、并以制造世界一流相机作为目标,此后逐渐进入复印机、打印机、光刻设备和机器视觉市场,如今业务已经扩展到各个领域并成功全球化。

佳能目前有四大业务线,即办公设备(包括打印机、复印机等)、成像系统(相机及其零配件)、工业设备(包括芯片光刻机、面板光刻机、网络摄像头和商用打印机等)以及医疗系统(包括视网膜相机、角膜曲率机等)。佳能最早从1970年开始光刻相关业务,但近几年来并无技术突破,推出的新产品均非光刻设备领域。

image.png

2018Q1公司销售不振但盈利情况仍有提升,营业收入为9.607千亿日元,同比下降1.2%,但净利润同比上升3.7%,达571亿日元,毛利率和净利率分别达46.3%和5.9%。原因在于光刻设备拉动,抵消镜头/相机收入下降的部分,整体收入与上年持平。受制于某些临时因素,图像系统和医疗业务营收分别下降8.4%和11.1%,营业利润分别下降15%和增长6%;工业领域业务营收增长16.1%,营业利润增长113.6%。

单看佳能工业设备销售业绩,整体上处于上升态势,但光刻设备的比重越来越低,尽管从2016年到2018年光刻设备尤其是芯片光刻设备的销售量有显著上升,但价值量贡献却并无相同趋势。FY2017,其他工业设备如网络摄像头、商用打印机和三维机器视觉系统加总销售额贡献超过80%,反映出佳能在光刻设备市场上议价能力不足,深层原因还是技术精度未能达到高端市场要求,仅能通过价格优势获得销售量的提升。

image.png

image.png

ASML

国产化进程:前路漫漫,曙光微现

光刻机研发的技术门槛和资金门槛非常高,也正是因此,能生产高端光刻机的厂商非常少,到最先进的14-7nm光刻机就只剩下ASML能生产,日本佳能和尼康已经基本放弃EUV光刻机的研发。光刻机国产化仍有很长的路要走,处于技术领先的上海微电子装备有限公司已量产的光刻机中性能最好的是90nm光刻机,制程上的差距非常大,国内晶圆厂所需的高端光刻机只能完全依赖进口。但在《瓦森纳协定》的封锁下,高端光刻机在中国被禁售,即使中端光刻机也有保留条款——禁止给国内自主CPU做代工,导致自主技术成长困难重重,光刻设备国产化,前路漫漫。

上海微电子:国产光刻机的星星之火

目前国内光刻机设备商较少,在技术上与国外还存在巨大差距,且大多以激光成像技术为主,在IC前道光刻设备方面,上海微电子装备(集团)股份有限公司(SMEE)代表了国内顶尖水平。

公司主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。设备广泛于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域。公司的封装光刻机在国内市占率高达80%,全球市占率也可达到40%;前道制造光刻机最高可实现90nm制程,有望快速将产品延伸至65nm和45nm。上海微电子承担着多项国家重大科技专项以及02专项光刻机科研任务,有望实现国产光刻设备的重大突破。

SMEE前道光刻产品为660系列,为步进扫描投影型,采用四倍缩小倍率的投影物镜、工艺自适应调焦调平技术,以及高速高精的自减振六自由度工件台掩模台技术,曝光光源有ArF、KrF和i-line,目前只能达到90nm制程,与国际先进水平差距较大。

image.png
500系列步进投影光刻机不仅适用于晶圆级封装的重新布线以及Flip Chip工艺中常用的金凸块、焊料凸块、铜柱等先进封装光刻工艺,还可以通过选配背面对准模块,满足MEMS和2.5D/3D封装的TSV光刻工艺需求。

image.png

除芯片光刻设备,公司还有FPD光刻设备。200系列投影光刻机采用先进的投影光刻机平台技术,专用于AMOLED和LCD显示屏TFT电路制造,可应用于2.5代~6代的TFT显示屏量产线。该系列设备具备高分辨率、高套刻精度等特性,支持6英寸掩模,显著降低用户使用成本。
image.png

另外,SSB300/30投影光刻机适用于2-6英寸基底LED的PSS和电极光刻工艺,该设备具有高分辨率、高线宽均匀性等特点;SSB320/10投影光刻机专用于LED生产中芯片制作光刻工艺,采用超大曝光视场,通过掩模优化设计减少曝光场,减少重复芯片损失,显著提高产能。

image.png

image.png
截至2018年1月,SMEE直接持有各类专利及专利申请超过2000项,同时通过建设并参与产业知识产权联盟,进一步整合共享了大量联盟成员知识产权资源,涉及光刻设备、激光应用、检测类、特殊应用类等各大产品技术领域,全面覆盖了SMEE产品的主要销售地域,上微公司承接着我国光刻设备星火燎原的希望。

参考资料来自:西南证券、驭势资本研究所

END

驭势资本是一家以研究驱动的硬科技精品投资银行,深耕集成电路5G物联网数据智能汽车科技领域,为顶尖的科技创业者提供专业资本服务。核心团队在硬科技领域拥有丰富的投融资经验,先后投资及服务的项目包括翱捷科技、臻驱科技、微众银行、微医集团、宏晶科技、晟矽微电子、地大信息、曼荼罗、美林数据、事成股份.
原文链接:https://mp.weixin.qq.com/s/E1...
微信号
yushi.jpg


更多硬科技领域的技术和市场分析请关注驭势资本专栏。
推荐阅读
关注数
967
内容数
62
驭势资本是一家以研究驱动的硬科技精品投资银行,深耕集成电路、5G、物联网、数据智能和汽车科技领域,为顶尖的科技创业者提供专业资本服务。
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息