马毅煌@驭势资本 · 2021年03月25日

先进封装行业研究宝典

640 (8).gif

半导体

半导体封测行业概述

物联网先“联网化”再智能化,模组率先受益

半导体的生产过程可分为晶圆制造工序(Wafer Fabrication)、封装工序(Packaging)、测试工序(Test)等几个步骤。其中晶圆制造工序为前道(Front End)工序,而封装工序、测试工序为后道(Back End)工序。封装是指将生产加工后的晶圆进行切割、焊线塑封,使电路与外部器件实现连接,并为半导体产品提供机械保护,使其免受物理、化学等环境因素损失的工艺。测试是指利用专业设备,对产品进行功能和性能测试,测试主要分为中测和终测两种。

处于半导体产业链下游

半导体是电子终端产品的关键组成部分,产业链可分为设计、制造、封测三大环节。半导体设计人员根据需求完成电路设计和布线,晶圆厂在晶圆上完成这些电路的制造,刻好电路图的晶圆再送到封测厂进行封装和测试,检测合格的产品便可应用于终端产品中。

image.png

半导体企业的经营模式可分为垂直整合和垂直分工两大类。采用垂直整合模式(Integrated Device Manufacturer,IDM)的企业可以独立完成芯片设计、晶圆制造、封装和测试等生产环节,代表企业包括英特尔、三星等。垂直分工模式为Fabless设计+Foundry制造+OSAT封测。Fabless芯片设计公司采用无晶圆厂模式,只负责研发设计和销售,将晶圆制造、封装、测试外包出去,代表企业包括高通、英伟达等;Foundry晶圆代工厂仅负责晶圆制造,代表企业包括台积电、中芯国际等;OSAT(Outsourced Semiconductor Assembly and Testing)为外包封测企业,仅负责封装测试环节,代表企业包括日月光、安靠、长电科技等。

image.png

封测行业市场规模

根据Yole的数据,全球封测行业市场规模保持平稳增长,预计从2019年的680亿美元增长到2025年的850亿美元,年均复合增速约4%。根据中国半导体行业协会的数据,中国封测行业市场规模从2011年的976亿元增长到了2019年的2350亿元,年均复合增速约11.6%,显著高于全球增速。

image.png

image.png

半导体

封测技术及发展方向

封测生产流程

晶圆代工厂制造完成的晶圆在出厂前会经过一道电性测试,称为晶圆可接受度测试(Wafer Acceptance Test,WAT),WAT测试通过的晶圆被送去封测厂。封测厂首先对晶圆进行中测(Chip Probe,CP)。由于工艺原因会引入各种制造缺陷,导致晶圆上的裸Die中会有一定量的残次品,CP测试的目的就是在封装前将这些残次品找出来,缩减后续封测的成本。在完成晶圆制造后,通过探针与芯片上的焊盘接触,进行芯片功能的测试,同时标记不合格芯片并在切割后进行筛选。

CP测试完成后进入封装环节,封装工艺流程一般可以分为两个部分,用塑料封装之前的工艺步骤称为前段操作,在成型之后的工艺步骤称为后段操作。基本工艺流程包括晶圆减薄、晶圆切割、芯片贴装、固化、芯片互连、注塑成型、去飞边毛刺、上焊锡、切筋成型、打码等。因封装技术不同,工艺流程会有所差异,且封装过程中也会进行检测。封装完成后的产品还需要进行终测(Final Test,FT),通过FT测试的产品才能对外出货。

image.png

半导体封装类型

根据封装材料的不同,半导体封装可分为塑料封装、金属封装、陶瓷封装和玻璃封装。塑料封装是通过使用特制的模具,在一定的压力和温度条件下,用环氧树脂等模塑料将键合后的半成品封装保护起来,是目前使用最多的封装形式。金属封装以金属作为集成电路外壳,可在高温、低温、高湿、强冲击等恶劣环境下使用,较多用于军事和高可靠民用电子领域。陶瓷封装以陶瓷为外壳,多用于有高可靠性需求和有空封结构要求的产品,如声表面波器件、带空气桥的GaAs器件、MEMS器件等。玻璃封装以玻璃为外壳,广泛用于二极管、存储器、LED、MEMS传感器、太阳能电池等产品。其中金属封装、陶瓷封装和玻璃封装属于气密性封装,能够防止水汽和其他污染物侵入,是高可靠性封装;塑料封装是非气密性封装。

根据封装互连的不同,半导体封装可分为引线键合(适用于引脚数3-257)、载带自动焊(适用于引脚数12-600)、倒装焊(适用于引脚数6-16000)和埋入式。引线键合是用金属焊线连接芯片电极和基板或引线框架等。载带自动焊是将芯片上的凸点与载带上的焊点焊接在一起,再对焊接后的芯片进行密封保护的一种封装技术。倒装焊是在芯片的电极上预制凸点,再将凸点与基板或引线框架对应的电极区相连。埋入式是将芯片嵌入基板内层中。

根据与PCB连接方式的不同,半导体封装可分为通孔插装类封装和表面贴装封装。通孔插装器件是1958年集成电路发明时最早的封装外形,其外形特点是具有直插式引脚,引脚插入PCB上的通孔后,使用波峰焊进行焊接,器件和焊接点分别位于PCB的两面。表面贴装器件是在通孔插装封装的基础上,随着集成电路高密度、小型化及薄型化的发展需要而发明出来的,一般具有“L”形引脚、“J”形引脚、焊球或焊盘(凸块),器件贴装在PCB表面的焊盘上,再使用回流焊进行高温焊接,器件与焊接点位于PCB的同一面上。

image.png

目前,引线键合技术因成本相对低廉,仍是主流的封装互联技术,但它不适合对高密度、高频有要求的产品。倒装焊接技术适合对高密度、高频及大电流有要求的产品,如电源管理、智能终端的处理器等。TAB封装技术主要应用于大规模、多引线的集成电路的封装。
image.png

先进封装是后摩尔时代的必然选择

封装技术发展史

封装技术的发展需要满足电子产品小型化、轻量化、高性能等需求,因此,封装技术过去和未来的发展趋势均是高密度、高脚位、薄型化、小型化。

根据《中国半导体封装业的发展》,半导体封装技术的发展历史可大致分为以下五个阶段:

第一阶段:20世纪70年代以前(通孔插装时代),封装技术是以DIP为代表的针脚插装,特点是插孔安装到PCB板上。这种技术密度、频率难以提高,无法满足高效自动化生产的要求。

第二阶段:20世纪80年代以后(表面贴装时代),用引线替代第一阶段的针脚,并贴装到PCB板上,以SOP和QFP为代表。这种技术封装密度有所提高,体积有所减少。

第三阶段:20世纪90年代以后(面积阵列封装时代),该阶段出现了BGA、CSP、WLP为代表的先进封装技术,第二阶段的引线被取消。这种技术在缩减体积的同时提高了系统性能。

第四阶段:20世纪末以后,多芯片组件、三维封装、系统级封装开始出现。

第五阶段:21世纪以来,主要是系统级单芯片封装(SoC)、微机电机械系统封装(MEMS)。

目前全球半导体封装的主流正处在第三阶段的成熟期和快速发展期,以CSP、BGA、WLP等主要封装形式进入大规模生产时期,同时向第四、第五阶段发展。从发展历史可以看出,半导体封装技术的发展趋势可归纳为有线连接到无线连接,芯片级封装到晶圆级封装,二维封装到三维封装。

image.png

封装技术封装技术

根据技术先进性,封装技术可分为传统封装技术和先进封装技术两大类。传统封装技术包括DIP、SOP、QFP、WB BGA等,先进封装技术包括FC、WLP、FO、3D封装、系统级封装等。随着晶圆代工制程不断缩小,摩尔定律逼近极限,先进封装是后摩尔时代的必然选择。

(1)SIP/DIP

单列直插封装(Single Inline Package,SIP)的引脚从封装体的一个侧面引出,排列成一条直线,SIP的引脚数量一般为2-23个。

双列直插封装(Dual Inline Package,DIP)的外形为长方形,在两侧有两排平行的金属引脚,称为排针。DIP封装的产品需要插入到具有DIP结构的芯片插座上,或者直接插在有相同焊孔数和几何排列的电路板上再进行焊接。引脚数一般不超过100,适合中小规模集成电路封装。
image.png

image.png

(2)SOP/QFP

小外形封装(Small Out-Line Package,SOP)的引脚从封装两侧引出,呈海鸥翼状(L字形)。

方型扁平式封装(Quad Flat Package,QFP)的管脚很细,引脚之间距离很小,可实现更多的I/O数,但仍受限于0.3mm的引脚间距极限。

image.png
image.png
(3)BGA

球栅阵列封装(Ball Grid Array Package,BGA)用焊球代替周边引线,成阵列分布于封装基板的底部平面上,是在生产具有数百根引脚的集成电路时,针对封装必须缩小的难题所衍生出的解决方案。

与上一代的QFP相比,BGA在减小体积和重量的情况下增加了I/O数量,但引脚的间距可以做得更大,成品率反而提高了;由于焊球间距明显短于引线,BGA电性能更好;焊球的共面性也改善了散热性。

根据芯片的位置不同可分为芯片表面向上和向下两种;按焊球排列方式可为球栅阵列均匀分布、球栅阵列交错分布、球栅阵列周边分布等;按密封方式可分为模制密封和浇注密封等;按基板材料可分为塑料球栅阵列PBGA(Plastic Ball Grid Array)、陶瓷球栅阵列 CBGA(Ceramic Ball Grid Array)、载带球阵列TBGA(Tape Ball Grid Array)等。

image.png

image.png

(4)FC

倒装(Flip Chip,FC)技术由IBM在20世纪60年代研发出来,20世纪90年代后期形成规模化量产,主要应用于高端领域产品。随着铜柱凸块技术的出现,结合消费电子产品的快速发展和产品性能的需求,越来越多的产品转向倒装芯片封装。

所谓“倒装”是相对于传统的金属线键合连接方式(Wire Bonding,WB)而言的。传统WB工艺,芯片通过金属线键合与基板连接,电气面朝上;倒装芯片工艺是指在芯片的I/O焊盘上直接沉积,或通过RDL布线后沉积凸块(Bump),然后将芯片翻转,进行加热,使熔融的焊料与基板或框架相结合,芯片电气面朝下。与WB相比,FC封装技术的I/O数多;互连长度缩短,电性能得到改善;散热性好,芯片温度更低;封装尺寸与重量也有所减少。

倒装芯片工艺流程中晶圆减薄、芯片倒装和底部填充是关键工艺。在倒装芯片的工艺中,晶圆来料上已经完成了凸块的制作,因此晶圆正面并不平整。由于晶圆没有凸块的区域是空心结构,所以研磨过程中,晶圆会产生振动,容易造成晶圆龟裂甚至破片,尤其是超薄晶圆的研磨,目前一般采用底部填充工艺技术来解决该问题。在芯片倒装工艺中,需要采用高精度坐标对准技术将芯片上的凸块焊接在高密度线路基板上,在此过程中,各方应力相互拉扯,基板容易产生翘曲现象,这会造成焊接出现偏移、冷焊、桥接短路等质量问题。底部填充是在芯片、凸块及基板三种材料之间填充底部材料,以避免三种材料因膨胀系数不同而产生剪应力破坏,底部填充的关键因素是黏度、温度、流动长度与时间。

image.png
image.png

凸块工艺被称为中道工序,是先进封装的核心技术之一,通过高精密曝光、离子处理、电镀等设备和材料,基于定制的光掩模,在晶圆上实现重布线,允许芯片有更高的端口密度,缩短了信号传输路径,减少了信号延迟,具备了更优良的热传导性及可靠性。主流的凸块工艺均采用晶圆级加工,即在整块晶圆表面的所有芯片上加工制作凸块,晶圆级凸块工艺包括蒸发方式、印刷方式和电镀方式三种,目前业界广泛采用的是印刷方式和电镀方式。晶圆代工厂在凸块工艺方面具有一定优势。

image.png

(5)WLP

晶圆级封装(Wafer Level Packaging,WLP)直接在晶圆上进行大部分或全部的封装测试程序,之后再进行切割制成单颗芯片。采用这种封装技术,不需要引线框架、基板等介质,芯片的封装尺寸减小,批量处理也使生产成本大幅下降。
image.png

image.png
WLP可分为扇入型晶圆级封装(Fan-In WLP)和扇出型晶圆级封装(Fan-Out WLP)两大类。扇入型直接在晶圆上进行封装,封装完成后进行切割,布线均在芯片尺寸内完成,封装大小和芯片尺寸相同;扇出型则基于晶圆重构技术,将切割后的各芯片重新布置到人工载板上,芯片间距离视需求而定,之后再进行晶圆级封装,最后再切割,布线可在芯片内和芯片外,得到的封装面积一般大于芯片面积,但可提供的I/O数量增加。

image.png

根据Yole的数据,全球晶圆级封装2019年的市场规模为33亿美元,预计2025年增加到55亿美元,CAGR为8.9%。其中扇入型晶圆级封装由2019年的20亿美元增加到2025年的25亿美元,CAGR为3.2%。2020年苹果发布的iPhone12采用了扇入型晶圆级封装,未来将会有更多的手机、平板、可穿戴设备采用此封装形式。

image.png

(6)FO

扇出(Fan Out,FO)是相对扇入而言,“扇入”只能向内走线,而在扇出型封装中,既可以向内走线,也可以向外走线,从而可以实现更多的I/O,以及更薄的封装。目前量产最多的是晶圆级扇出型产品。

扇出型封装工艺主要分为Chip first和Chip last两大类,其中Chip first又分Die down和Die up两种。

扇出型封装生产工艺的关键步骤包括芯片放置、包封和布线。芯片放置对速度和精度的要求很高,放置速度直接决定生产效率,从而影响制造成本;放置精度也是决定后续布线精度的关键性因素。包封需要对包封材料进行填充和加热,这一过程不仅可能导致已放置好的芯片发生移位,还有可能因包封材料与芯片的膨胀系数的不同而造成翘曲,这两者都会影响后续的布线环节。布线成功率是决定最终封装成品率的关键因素,另一方面,布线设备是整个生产设备中最昂贵的,对制造成本的影响很大。

image.png

根据封装芯片数量,扇出型封装分为晶圆级扇出型(Fan-out Wafer Level Packaging,FOWLP)和板级扇出型技术(Fan-out Panel Level Packaging,FOPLP),FOWLP对单个芯片进行封装,FOPLP对多个芯片进行封装。虽然FOPLP的增速更快,FOWLP在未来几年仍占主导。根据Yole的数据,2019-2025年FOPLP的CAGR达57%,FOWLP的CAGR为14%,但FOWLP在2025年的占比仍会在2/3以上。eWLB(Embedded Wafer Level Ball Grid Array)是目前量产规模最大的晶圆级扇出型封装。

根据密度的高低,Yole将扇出型封装分为UHD扇出(Ultra High Density)、HD扇出(High Density)和核心扇出三大类。UHD扇出的需求将随着新的HPC产品的出现而增加,预计2019-2025年的CAGR最高,为20.2%,到2025年市场规模达15.32亿美元,占扇出型一半的市场;HD扇出的CAGR为15.8%,到2025年达12.91亿美元;核心扇出增长缓慢,CAGR仅1%。

image.png
(7)3D/2.5D封装

3D封装又称为叠层芯片封装技术,是指在不改变封装体尺寸的前提下,在同一个封装体内于垂直方向叠放两个以上芯片的封装技术,它起源于快闪存储器(NOR/NAND)及SDRAM的叠层封装,可以实现不同类型芯片的异质集成,目前在存储芯片上已有较多应用。

3D封装可采用凸块或硅通孔技术(Through Silicon Via,TSV),TSV是利用垂直硅通孔完成芯片间互连的方法,由于连接距离更短、强度更高,能实现更小更薄而性能更好、密度更高、尺寸和重量明显减小的封装,而且还能用于异种芯片之间的互连。

2.5D封装是在基板和芯片之间放一个硅中间层,这个中间层通过TSV连接上下部分。

image.png

(8)SiP

系统级封装(System in Packag,SiP)是将多种功能芯片,包括处理器、存储器、FPGA等功能芯片集成在一个封装内,从而实现一个基本完整的功能。与系统级芯片(System on Chip,SoC)相对应,不同的是系统级封装是采用不同芯片进行并排或叠加的封装方式,而SoC则是高度集成的芯片产品。SiP解决方案需要多种封装技术,如引线键合、倒装芯片、芯片堆叠、晶圆级封装等,是超越摩尔定律的重要实现路径。

image.png

根据Yole的数据,2019年全球SiP封装的市场规模为134亿美元,预计2025年增加到188亿美元,CAGR为6%。

从应用领域来看,移动设备和消费电子是最大市场,2019-2025年的CAGR为5%;通讯/基础设施和汽车电子紧随其后,两者的CAGR均为11%,高于整体增速。

从使用的封装技术来看,FC/WB SiP占比超过90%,2019年市场规模为122亿美元,预计到2025年将达到171亿美元,2019年至2025年的复合年增长率为6%。FO SiP仍受限于成本效益比,参与者需要掌握FO技术,所以从2017年开始,台积电便是最主要的参与者,2019年市占率超过90%。

image.png

先进封装市场规模

摩尔定律的放缓、异质集成和各种大趋势(包括5G、AI、HPC、物联网等)推动着先进封装市场强势发展。根据Yole的数据,2019年全球先进封装市场规模约290亿美元,预计2025年增长到420亿美元,年均复合增速约6.6%,高于整体封装市场4%的增速和传统封装市场1.9%的增速。
image.png
从下游应用市场来看,移动设备和消费电子对集成度要求高,是先进封装最大的细分市场,2019年占比达85%,2019-2025的CAGR为5.5%,略低于整体增速,2025年将占先进封装市场的80%。电信和基础设施是先进封装市场中增长最快的细分市场,CAGR约为13%,市场份额将从2019年的10%增至2025年的14%。汽车与运输细分市场在2019年至2025年期间将以10.6%的CAGR增长,到2025年达到约19亿美元,但其在先进封装市场中所占的份额仍将持平,约4%。

image.png

从技术分类来看,3D堆叠封装、嵌入式芯片封装、扇出型封装在2019年到2025年的增速更高,CAGR分别为21%、18%、16%。扇出型技术进入移动设备、网络和汽车领域;3D堆叠技术进入AI/ML、HPC、数据中心、CIS、MEMS/传感器领域;嵌入式芯片封装进入移动设备、汽车和基站领域。

从先进封装收入构成来看,倒装技术占比遥遥领先,2018年占比81%。

image.png

从晶圆数来看,2019年约2900万片晶圆采用先进封装,到2025年增长为4300万片,年均复合增速为7%。其中倒装技术占比最高,3D封装增速最快。

image.png
半导体

封测领域竞争格局

原来封测领域的厂商主要有两类,一类是IDM公司的封测部门,主要完成本公司半导体产品的封测环节,属于对内业务;第二类是外包封测厂商OSAT,其作为独立封测公司承接半导体设计公司产品的封测环节。

随着摩尔定律极限接近,基于硅平台的先进封装技术不断发展,晶圆代工厂利用其在硅平台的积累正在进入封测领域,尤其是先进封装。

我们的重点是关注OSAT公司和晶圆代工厂在封测领域的竞争情况。

前十大OSAT企业

1968年,美国公司安靠的成立标志着封装测试业从IDM模式中独立出来,直到2002年安靠一直是全球封测龙头。1987年台积电成立,成为全球第一家专业晶圆代工企业,并且长期占据全球晶圆代工50%以上的市场份额。台积电的成功也带动了本地封测需求,台湾成为全球封测重地,日月光在2003年取代安靠成为全球封测龙头。至今全球前十大OSAT企业中有6家来自台湾。

封测是我国半导体产业链中国产化水平较高的环节,全球前十大外包封测厂中,我国占了三席,分别是第三的长电科技、第六的通富微电和第七的天水华天。

image.png

晶圆厂入局

台积电领先地位凸显

台积电于2008年底成立集成互连与封装技术整合部门,经过超十年的构建,目前已经完成晶圆级系统整合(WLSI)技术平台,该平台利用台积电公司工艺制程与产能的核心竞争力,建立支援异质系统整合与封装能力,以满足特定客户在芯片性能、功耗、轮廓、周期时间及成本的需求。至今,在先进封装领域,台积电的领先地位已经尤其突显。从2019年封装收入排名来看,台积电在OSAT中排名第4,约30亿美元,约占台积电收入的8.4%。从技术来看,台积电重心在发展扇出型封装InFO(Integrated Fan Out,整合扇出型封装)、2.5D封装CoWoS(Chip-on-Wafer-on-Substrate,基板上晶圆上芯片封装)和3D封装SoIC(System-on-Integrated-Chips,集成芯片系统)。

image.png

CoWoS于2011年推出,2013年在赛灵思28nm的FPGA上量产,之后随着AI的发展被大量采用,包括英伟达的GP 100、谷歌的TPU 2.0等;InFO于2014年投入研发,2016年台积电利用该技术获得了苹果 APU(A10)订单,InFO成为台积电独占苹果A系列处理器订单的关键;SoIC还处于研发中,预计2021年量产。

image.png

中芯国际携手封测厂入局

2014年中芯国际与长电科技合资成立中芯长电,由中芯国际控股。中芯长电是全球首家采用集成电路前段芯片制造体系和标准,采用独立专业代工模式服务全球客户的中段硅片制造企业。以先进的凸块和再布线加工起步,中芯长电致力于提供中段硅片制造和测试服务,并进一步发展先进的三维系统集成芯片业务。

目前中芯长电位于江阴的基地提供12英寸中段硅片加工,专注于12英寸凸块和先进硅片级封装;上海基地提供8英寸中段凸块和硅片级封装。另外在江阴以及上海两地均拥有测试厂,能够提供测试程序开发、探针卡制作、晶圆测试、失效分析以及失效测试服务。

中芯国际来自先进封装(凸块加工及测试业务)的收入占比逐年提升,但2019年也仅实现收入4.76亿元,占总营收的比例为2.2%。

2020年12月15日中芯国际聘任蒋尚义博士为公司董事会副董事长、第二类执行董事及战略委员会成员。蒋尚义博士曾在台积电掌舵研发,并带领完成台积电先进封装技术的开发。加入中芯国际后,蒋尚义博士公开表示中芯国际将同时发展先进工艺和先进封装。随着蒋尚义博士的加入,中芯国际在先进封装方面的进展值得期待。

image.png

半导体

封测厂商经营情况

封测厂商通过外延增强竞争力

封测厂商的发展历史是围绕着并购展开的,其中日月光和中国三巨头尤其明显。

日月光1984年成立,1989年上市时便已全球排名第二,之后十年完成了三次重要并购,于2003年成功超过安靠成为全球第一大封测厂商。之后公司仍然没有停止并购步伐,至今仍然保持着全球第一的位置。2018年日月光更与排名第四的矽品以股份转换方式设立日月光投控,日月光投控的规模约为第二名安靠的两倍,封测领域龙头地位进一步巩固。

中国三大封测厂商长电科技、通富微电、华天科技均在2015年前后通过收购海外封测厂而跻身全球前列。其中长电科技以当时全球第六的地位收购新加坡全球第四的星科金朋,成为全球第三大封测厂商。

image.png
image.png

国内四大封测厂商经营数据

在国内四大封测厂商中,长电科技的体量远远领先,通富微电、华天科技体量接近,晶方科技聚焦传感器市场体量较小。从研发投入来看,晶方科技所有收入均来自于先进封装,所以研发投入率高达22%,远远超过其他三家;通富微电聚焦在处理器、存储等高端封装市场,研发投入率也明显高于长电科技和华天科技。从人均创收角度,长电科技最高,通富微电、晶方科技接近,华天科技较低。

image.png

从毛利率和净利率来看,晶方科技由于专注于传感器领域的晶圆级芯片尺寸封装,毛利率和净利率均远远高于其他封测厂商,但随着2014年收购的资产进入折旧而收入并未跟上,其毛利率和净利率均在2015年明显下降。

长电科技、通富微电、华天科技在2016年将收购的企业并表后,由于处于整合阶段,整体毛利率、净利率均出现下滑,其中长电科技尤其明显,毛利率最低,仅11%左右,并且多次出现亏损。

image.png
image.png

从2019年开始,国内四大封测厂商均迎来了业绩改善。晶方科技在2019年因CIS缺货涨价表现最好,毛利率和净利率从2019Q2开始同比改善。2019Q4四大封测厂毛利率均同比提高,2020年四大封测厂商业绩继续改善,毛利率、净利率均同比提高。

image.png

从收益质量来看,2020年前三季度长电科技、华天科技、晶方科技超过80%的净利润来自于经常性收益,其中长电科技扣非后净利润实现扭亏为盈;通富微电约42%的净利润来自非经常性损益,其中主要是政府补助。四家封测厂商的经营性现金流情况均很好,2020年前三季度的净利润现金含量均在100%以上。

image.png

从客户集中度来看,长电科技、华天科技客户相对分散,2019年前五大客户收入占比分别为33%、17%;通富微电、晶方科技客户相对集中,2019年前五大客户收入占比分别为67%、80%。通富微电由于收购AMD的封测厂,AMD成为其第一大客户,2019年贡献49%的收入。晶方科技由于集中于传感器领域,客户也相对集中。
image.png

长电科技、通富微电、华天科技均曾大额收购海外封测厂,从而形成了较高的商誉。截止2019年12月31日,商誉分别为22.14亿元、10.99亿元、8.11亿元,占全年收入的比例分别为9%、13%、10%。长电科技的商誉来自收购星科金朋100%的股权;通富微电商誉来自收购通富超威苏州和通富超威槟城85%的股权;华天科技商誉来自多个收购,其中最主要的是Unisem(友尼森)和宇芯成都,分别为3.67亿元和4.26亿元。

image.png

国内四大封测厂定增扩产,长期受益本地需求增加

在产能供不应求的情况下,国内四大封测厂商均于近期发布定增扩产计划,其中通富微电、晶方科技分别于2020年2月和3月首次公告定增预案,已于2020年11月和2021年1月发行股份完毕;长电科技于2020年8月公告定增预案,2020年12月已拿到证监会批复文件;华天科技于2021年1月公告预案。

从四大封测厂的投资项目来看,系统级封装、多芯片封装、晶圆级封装是主要方向。半导体产业链相关公司积极参与封测厂商定增,通富微电发行对象中包括卓胜微、华峰测控、芯海科技、韦尔股权等上下游公司,晶方科技发行对象中包括韦尔股权。

image.png

从长期来看,国内半导体产业正处于快速发展期,芯片设计公司和晶圆代工厂的增加将带动本地封测需求。

根据亿欧的数据,我国芯片设计公司数量2016年激增626家,达到1362家,增加85%,到2019年增加到1780家。

根据IC Insight统计数据,2018年中国晶圆产能243万片/月(等效于8寸晶圆),中国大陆晶圆产能占全球晶圆产能12.5%。根据IC Insight对未来产能扩张预测,随着半导体制造硅晶圆产能持续向中国转移,2022年中国大陆晶圆厂产能将达410万片/月,占全球产能17.15%。2018-2022年中国硅晶圆产能的年均复合增长率达14%,远高于全球产能年均复合增长率5.3%。

image.png

半导体

总结

2016-2019年是长电科技并购整合的阶段,业绩波动较大,2016、2018年全年出现亏损,因此PE相对估值波动也很大,不具有参考性;通富微电也在2019年上半年因中美关系紧张出现亏损,PE相对估值不具参考性。对应PE相对估值,我们主要考察华天科技和晶方科技,从2016年以来,晶方科技因为主要从事先进封装业务,市场长期给予估值溢价,PE大部分时间高于华天科技。截止2021年2月26日,长电科技、华天科技、晶方科技的PE(TTM)估值接近,且均低于集成电路指数;通富微电的PE(TTM)较高,主要是市场看好公司在处理器和存储封测的布局。

封测行业属于重资产行业,PB值具有一定的参考意义。截止2021年2月26日,四家封测企业的PB估值均高于2016年以来的中值和均值,考虑到封测企业从2019年底开始盈利能力改善,资产收益率提高,我们认为PB估值处于相对高位具有合理性。集成电路指数中含有大量轻资产的芯片设计公司,PB值与封测企业不具有可比性。

image.png
image.png
行业整体而言,我们认为半导体行业目前仍处于上行周期,封测产能供不应求,先进封装更是后摩尔时代的必然选择,成为各大厂商发力点,除了原有的IDM封测部、OSAT外包封测企业外,以台积电为代表的晶圆代工厂成为最大搅局者。从我国而言,封测环节是半导体产业链中实力最强的部分,具备国际竞争力。在行业景气度上行和加大内部整合的情况下,我国四大封测企业均在2019年下半年迎来了业绩拐点。从长期来看,国内半导体产业正处于快速发展期,芯片设计公司和晶圆代工厂的增加将带动本地封测需求,在产能吃紧的情况下,国内四大封测厂商均于近期发布了定增扩产计划,规模有望进一步扩大。

参考资料来自:金华证券、驭势资本研究所

END

驭势资本是一家以研究驱动的硬科技精品投资银行,深耕集成电路5G物联网数据智能汽车科技领域,为顶尖的科技创业者提供专业资本服务。核心团队在硬科技领域拥有丰富的投融资经验,先后投资及服务的项目包括翱捷科技、臻驱科技、微众银行、微医集团、宏晶科技、晟矽微电子、地大信息、曼荼罗、美林数据、事成股份.
原文链接:https://mp.weixin.qq.com/s/OPf3GphWR2_kRTA6nlaAjA
微信号
yushi.jpg


更多硬科技领域的技术和市场分析请关注驭势资本专栏。
推荐阅读
关注数
967
内容数
62
驭势资本是一家以研究驱动的硬科技精品投资银行,深耕集成电路、5G、物联网、数据智能和汽车科技领域,为顶尖的科技创业者提供专业资本服务。
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息