碎碎思 · 2021年05月26日

(Xilinx)FPGA中LVDS差分高速传输的实现

原出处:OpenFPGA
作者:碎碎思

低压差分传送技术是基于低压差分信号(Low Volt-agc Differential signaling)的传送技术,从一个电路板系统内的高速信号传送到不同电路系统之间的快速数据传送都可以应用低压差分传送技术来实现,其应用正变得越来越重要。低压差分信号相对于单端的传送具有较高的噪声抑制功能,其较低的电压摆幅允许差分对线具有较高的数据传输速率,消耗较小的功率以及产生更低的电磁辐射。

LVDS:Low Voltage Differential Signaling,低电压差分信号。

LVDS传输支持速率一般在155Mbps(大约为77MHZ)以上。

LVDS是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。

差分信号抗噪特性

从差分信号传输线路上可以看出,若是理想状况,线路没有干扰时,

在发送侧,可以形象理解为:

IN= IN+ — IN-

在接收侧,可以理解为:

IN+ — IN- =OUT

所以:

OUT = IN

在实际线路传输中,线路存在干扰,并且同时出现在差分线对上,

在发送侧,仍然是:

IN = IN+ — IN-

线路传输干扰同时存在于差分对上,假设干扰为q,则接收则:

(IN+ + q) — (IN- + q) = IN+ — IN- = OUT

所以:

OUT = IN

噪声被抑止掉。 上述可以形象理解差分方式抑止噪声的能力。

image.png

From: 美国国家半导体的《LVDS用户手册》P9

FPGA中的差分管脚

为了适用于高速通讯的场合,现在的FPGA都提供了数目众多的LVDS接口。如Spartan-3E系列FPGA提供了下列差分标准:

  •  LVDS
  •  Bus LVDS
  • mini-LVDS
  • RSDS
  •  Differential HSTL (1.8V, Types I and III)
  •  Differential SSTL (2.5V and 1.8V, Type I)
  • 2.5V LVPECL inputs

所拥有的差分I/O管脚数目如下

image.png

From:Spartan-3E FPGA Family:Complete Data Sheet p5

I/O管脚的命名方式:

image.png

From:Spartan-3E FPGA Family:Complete Data Sheet p164

image.png

From:Spartan-3E FPGA Family:Complete Data Sheet p18

Spartan-3E系列FPGA器件差分I/O接口输入工作的特性参数:

image.png

image.png

From:Spartan-3E FPGA Family:Complete Data Sheet p126

公众号:OpenFPGA

Spartan-3E系列FPGA器件差分I/O接口输出工作的特性参数:

image.png

image.png

From:Spartan-3E FPGA Family:Complete Data Sheet p127

Xilinx公司差分原语的使用

(原语,其英文名字为Primitive,是Xilinx针对其器件特征开发的一系列常用模块的名字,用户可以将其看成Xilinx公司为用户提供的库函数,类似于C++中的“cout”等关键字,是芯片中的基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等,相当于软件中的机器语言。在实现过程中的翻译步骤时,要将所有的设计单元都转译为目标器件中的基本元件,否则就是不可实现的。原语在设计中可以直接例化使用,是最直接的代码输入方式,其和HDL语言的关系,类似于汇编语言和C语言的关系。)

公众号:OpenFPGA

关于Xilinx原语的详细介绍,可以参考下面文章

1)FPGA开发实用教程 第4节 Xilinx公司原语的使用方法

http://www.eefocus.com/articl...

2)ISE的Help—sofeware Manuals

image.png

差分I/O端口组件

1) IBUFDS 

IBUFDS原语用于将差分输入信号转化成标准单端信号,且可加入可选延迟。在IBUFDS原语中,输入信号为I、IB,一个为主,一个为从,二者相位相反。
公众号:OpenFPGA

IBUFDS的逻辑真值表所列,其中“-*”表示输出维持上一次的输出值,保持不变。

表IBUFDS原语的输入、输出真值表

image.png

IBUFDS原语的例化代码模板如下所示:

// IBUFDS: 差分输入缓冲器(Differential Input Buffer) 

http://www.xilinx.com/itp/xil...\_197.html

Verilog Instantiation Template

IBUFDS instance\_name (.O (user\_O),

                       .I (user\_I),

                       .IB (user\_IB));

在综合结果分析时,IBUFDS的RTL结构如图所示。
image.png
图IBUFDS原语的RTL结构图

2) OBUFDS

OBUFDS将标准单端信号转换成差分信号,输出端口需要直接对应到顶层模块的输出信号,和IBUFDS为一对互逆操作。OBUFDS原语的真值表如表所列。

表OBUFDS原语的真值表

image.png

OBUFDS原语的例化代码模板如下所示:

// OBUFDS: 差分输出缓冲器(Differential Output Buffer) 

http://www.xilinx.com/itp/xil...\_301.html

Verilog Instantiation Template

OBUFDS instance\_name (.O (user\_O),

                       .OB (user\_OB),

                        .I (user\_I));

在综合结果分析时,OBUFDS原语的RTL结构如图所示。

image.png
图OBUFDS的RTL结构图

3) IOBUFDS

IOBUFDS原语真值表

image.png
IOBUFDS的RTL结构图
image.png

Verilog Instantiation Template

// IOBUFDS: Differential Bi-directional Buffer

差分时钟组件

1)IBUFGDS

与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。

IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。IBUFG支持BLVDS、LDT、LVDSEXT、LVDS、LVPECL和ULVDS等多种格式的IO标准。

http://www.xilinx.com/itp/xil...\_199.html

   IBUFGDS原语真值表

image.png

IBUFGDS的RTL结构图
image.png

Verilog Instantiation Template

IBUFGDS instance_name (.O (user_O), 

LVDS差分的在FPGA中的应用

在高速传输的过程中,经常会受到干扰而误码,因此有时候时钟输入采用差分输入的办法来提高抗干扰的能力。下面已一个二分频为例子:

二分频Verilog代码如下:

`timescale 1ns / 1ps

布线布局的仿真(Post-Route Simulation)波形如下:

image.png

现在对时钟clk信号进行差分处理,对div2 module进行例化(Create Schematic Symbol)

新建一个div2\_diff.sch。添加div2的module在sch上。通过搜索,把时钟缓冲差分组件IBUFGDS也放在div2\_diff.sch上。

image.png

设置好clk的差分管脚,(按照Verilog命名规范)正的命名为clk\_p,负的命名为clk\_n。
image.png

在添加波形测试文件时要注意,Clock Information选择Multiple Clocks(因为时钟变为两个clk\_p、clk\_n)

image.png
下一步,把clk\_p和clk\_n都选上:

![](https:/看到仿真的clk\_p和clk\_n都变为差分输入的了。

image.png

还要对div2\_diff.sch进行管脚约束。div2\_diff.ucf约束文件如下:

NET "clk_p"  LOC = "C9" | IOSTANDARD = LVDS_25 ;

要注意:

clk\_n和clk\_p都要设置在差分管脚对上,

clk\_n——C9——IO\_L14P

clk\_p——D9——IO\_L14N

并且逻辑电平标准设置为LVDS\_25。Spartan-3E支持下面的差分逻辑电平标准。

  • LVDS
  • Bus LVDS
  • mini-LVDS
  • RSDS
  • Differential HSTL (1.8V, Types I and III)
  • Differential SSTL (2.5V and 1.8V, Type I)
  • 2.5V LVPECL inputs

综合、翻译、映射和布线布局后,运行布线布局仿真,可以看到时钟clk已经变为差分时钟了。

image.png

看div2\_diff.sch的HDL文件(View HDL Functional Model)。可以看到其实sch调用了IBUFGDS原语。
image.png

在差分设计中,经常会因为配置错误而使得综合出错,最常见的错误分析如下:

ERROR:Place:332 - This design contains an LVDS IO pair. The pair of IOs must be placed in a specific relative structure.

这个错误出错是因为差分管脚clk\_p和clk\_n没有约束到芯片的差分对管脚上。需要修改.ucf文件。至于芯片哪个管脚是差分对,可以参考相应芯片的Datasheet。XC3S500E-FG320(Spartan-3E fpga)有92个I/O差分管脚和12个输入差分管脚。

ERROR:Pack:946 - The I/O component "clk_p" has an illegal IOSTANDARD value. 

这个错误出错是因为差分管脚设置的逻辑电平标准不对,设置成LVCMOS33,应该设置为芯片支持的LVDS逻辑电平标准。

参考资料:

1)基于LVDS技术与FPGA的高速通讯应用研究,韩党群,唐征兵,张庆玲

http://www.eccn.com/xsj07/xsj...

2)LVDS原理与应用简介

http://www.ent.eetchina.com/ART\_8800472639\_2700004\_TA\_5d4d019d.HTM

3) 美国国家半导体的《LVDS用户手册》

http://www.ent.eetchina.com/ART\_8800562170\_2700004\_TA\_552cca6f.HTM

Xilinx FPGA 如何使用LVDS

http://www.61eda.com/Services...

无论使用HDL flow还是schematic flow,只需要例化IBUFDS,OBUFDS之类的差分缓冲器,就可以使用LVDS了。

例化之后,要定位Pin位置,使用PACE,在IO

Standard中选择LVDS33或者LVDS25,还能选择有DCI的版本。定端口时,注意看Datasheet中Pin名字分P/N,这P也要对应buffer中的P,N也要对应N。而且注意同一个bank只能有一个电压标准。

如果用FPGA Editor观察布局布线后的情况,就会发现,FPGA

Editor中没有IBUFDS这个Component,这个Buffer是藏在IOB里的,点击到Pin的图块中,可以看到里面有一个Buffer,就起到了IBUFDS这个作用。



相关文章推荐

更多FPGA技术干货请关注FPGA的逻辑技术专栏。
推荐阅读
关注数
10512
内容数
513
FPGA Logic 二三事
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息