半导体行业观察 · 2021年07月30日

这将是Chiplet的最大挑战?

来源:内容由半导体行业观察(ID:icbank)原创,作者:李晨光,谢谢。

在正在到来的后摩尔时代,芯片先进制程逐渐突破物理极限,由先前的“如何把芯片变得更小”转变为“如何把芯片封得更小”,先进封装随之浮出水面。

另一方面,先进制程带来的设计成本也水涨船高。IBS首席执行官Handel Jones表示,设计28nm芯片的平均成本为4000万美元。相比之下,设计7nm芯片的成本为2.17亿美元,设计5nm芯片的成本为4.16亿美元,3nm设计更将耗资5.9亿美元。一般公司很难承受。

双重挑战下,Chiplet风潮正在来临。

Chiplet技术是SoC集成发展到一定程度之后的一种新的芯片设计方式,通过将SoC分成较小的裸片(Die),再将这些模块化的小芯片(裸片)互连起来,采用新型封装技术,将不同功能、不同工艺制造的小芯片封装在一起,成为一个异构集成芯片。

通俗点讲,就是把不同功能的芯片单元(可以使用不同的工艺节点制造,甚至可以由不同的供应商提供)封装到一个芯片里,快速定制出一个能满足多种功能需求的芯片产品。Chiplet的兴起有望解决工艺提升困难,以及导致的芯片制造成本问题。

芯原股份董事长戴伟民认为,并非每种芯片都需要5nm/7nm这样的尖端工艺,也不是每一家公司都能负担的起先进工艺的成本,Chiplet这种将不同工艺节点的die混封的新形态或将是未来芯片的重要趋势之一。

以AMD为例,AMD第二代EPYC服务器处理器Ryzen采用小芯片设计,将先进的台积电7nm工艺制造的CPU模块与更成熟的格罗方德12/14nm工艺制造的I/O模块组合,7nm可满足高算力的需求,12/14nm则降低了制造成本。同时,采用更成熟制程的I/O模块还有助于整体良率的提升。



AMD第二代EPYC服务器处理器Ryzen(图源:technewstube)

Chiplet其实并不是一个新技术,只是在新工艺节点越来越贵,竞争越来越激烈的半导体市场上,又被重新派上了用场。随着Chiplet的优势逐渐显露,它正被微处理器、SoC、GPU和FPGA等更先进和高度集成的半导体器件采用。

多年来,AMD、英特尔、Marvell、ODSA、DARPA和其他公司都逐渐在开发支持小芯片的设计。随着越来越多的玩家进入,更多的设计样本正在推动成本的下降,加速Chiplet生态发展。据Omdia报告,2018年Chiplet市场规模为6.45亿美元,预计到2024年会达到58亿美元,2035年则超过570亿美元,Chiplet的全球市场规模正在迎来快速增长。

2018-2024年全球小芯片市场收入(来源:Omdia)

Chiplet互连面临挑战

与传统的单片集成方法相比,Chiplet在许多方面具有优势和潜力。然而,目前Chiplet尚处于起步阶段,只有少数公司拥有开发这些产品的能力,大多数企业还没有足够的专业知识,包括设计能力、die(裸片)、die到die互连和制造策略,这些都使小芯片的进一步发展带来困难。

Chiplet的可行性常常受到片间互连的性能、可用性以及功耗和成本问题的限制,各种异构芯片的互连接口和标准的设计在技术和市场竞争方面难以实现性能和灵活性间的平衡。

多年来,业内一直在寻找一种“真正的互连”,以便在单个MCM(Multi Chip Module多芯片模块)中实现从裸片到裸片的通信,更好的完成数据存储、信号处理、数据处理等丰富的功能。如何让裸片与裸片之间高速互联,是Chiplet技术落地的关键,也是全产业链目前的一大全新挑战。

Chiplet技术在物理层中使用的互连接口可以分为以下几类:

(1)串行接口

从应用程序传输距离的角度来看,串行接口包括长/中/短距离的SerDes(LR/MR/VSR SerDes),超短距离(XSR)SerDes和极短距离(USR)SerDes。



串行接口的分类(a)和应用(b)

LR/MR/VSR SerDes通常用于芯片间连接和芯片与模块连接,被广泛用于PCI-E、以太网和RapidIO等通信接口。这些接口的主要特点是可靠,传输距离长,成本低以及易于集成。然而,由于这些接口在功耗,面积和延迟方面没有优势,因此难以支持对此有高要求的高性能芯片的构造。

XSR SerDes为“Die-to-Die”(D2D)和“Die-to-Optical”(D2OE)的互连提供了相应的SerDes标准,现有标准速率正在从50Gbps向100Gbps速率过度。XSR SerDes不需要复杂的均衡算法,不添加FEC也可以较好的控制误码率,具有功耗低、面积小、通信协议灵活的特点,适合在具有端到端FEC的光学设备和裸芯片之间部署。

USR SerDes主要致力于通过2.5D/3D封装技术在超短距离(10mm级别)上实现芯片对芯片的高速互连通信。由于通信距离短,USR通过高级编码,多位传输和其他技术提供了更好的性能、功耗比和更好的可伸缩性。USR SerDes互连技术的发展大大减少了半导体芯片之间通信所需的I/O总数。但USR对传输距离的要求又阻碍了Chiplet的大规模集成。

(2)并行接口

用于Chiplet互连的通用并行接口包括英特尔的AIB/MDIO,台积电的LIPINCON,ODSA的BoW等,专用于高带宽存储之间的互连。

AIB/MDIO:AIB是英特尔推出的一种在小芯片之间传输数据的接口方案和互连标准。多年来,英特尔一直在生产带有AIB接口的产品,在其Stratix 10 FPGA上就使用了AIB接口来集成多种不同的小芯片。

作为AIB的升级版本,MIDO提供了更高的传输效率,并且响应速度和带宽密度是AIB的两倍以上。AIB和MDIO技术主要适用于通信距离短,损耗低的2.5D和3D封装技术,例如EMIB、Foveros。

英特尔声称MDIO和台积电的LIPINCON水平相当(图源:Intel)

LIPINCON:LIPINCON是台积电多年前就开始研发的裸片之间数据互联接口技术,通过使用先进的基于硅的互连封装技术(例如InFO、CoWoS)和时序补偿技术,为Chiplet提出的高性能互连接口。LIPINCON可以在没有PLL/DLL的情况下降低功耗和占用面积。LIPINCON接口包含两种类型的PHY:PHYC和PHYM,分别用于SoC芯片和存储器/收发器芯片。

BoW:ODSA正在定义一个名为Bunch of Wires (BoW)的芯片到芯片接口。BoW接口专注于解决基于有机基板的并行互连问题,BoW有BoW Base,BoW-Fast和BoW-Turbo三种类型,支持不同的传输距离和传输效率。此外,BoW支持向后兼容,并且对芯片工艺和封装技术的限制较少,不依赖于先进的基于硅的互连封装技术,具有广泛的应用范围。

此外,HBM(High Bandwidth Memory)接口也属于并行接口,专门用于存储器件与die互连的标准。

(3)其它接口

原则上来讲,通过适应底层物理层(PHY),可以将传统接口标准(例如以太网MAC,PCIe等)用于链路层的Chiplet传输。Tilelink接口协议、CCIX接口标准以及ISF接口协议等,都能够支持Chiplet的芯片对芯片互连。

能够看到,以上的接口方案都是根据特定的互连要求进行的设计,有各自的优缺点。在大多数情况下,Chiplet互连解决方案与特定应用直接相关。并行接口如BoW、AIB、HBM能够提供低功耗、低延迟和高带宽,但代价是需要在裸片之间连接许多线路,只有使用昂贵的插接器或桥接技术才能满足布线要求;相对于并行接口,SerDes提供了类似的带宽,减少了通信所需的I/O总数和线路长度,但是会增加一些额外的功耗和延迟。

因此,Chiplet设计人员在选择die-to-die互连之前,要根据实际应用需求、约束条件和芯片特性,充分考虑其应用的相关要求,选择合适的接口来实现系统优化的目标。

Chiplet互连的布局与尝试

从行业发展来看,第一批基于小芯片的设计是将芯片到芯片互连与自有设备的专有接口相结合。然而,除了自有产品外,供应商可能想要使用另一家公司的die,但大多数公司可能不愿意分享芯片的内部工作原理。

因此,如何将一家公司的芯片共享给另一家公司?如何将多个不同来源的die之间进行连接和通信?都成了摆在Chiplet面前的挑战。行业需要具有开放的互连接口,使不同的芯片能够相互通信。

到目前为止,上文提到的英特尔开发的高级接口总线 (AIB),是市场上为数不多的开放接口之一,在DARPA的CHIPS项目支持下,英特尔向相关供应商提供了免费的AIB接口许可,许多不同企业及高校正在用AIB打造小芯片系统。如果AIB未来能够成为业界的标准(类似Arm的AMBA总线标准),则将能大大加速Chiplet模式更快的普及。

当然,供应商需要的不止一种芯片到芯片互连方案。除了上述提到的几种,其他互连技术也正在研发中,行业厂商在纷纷布局:

  • Marvell在推出模块化芯片架构时采用了Kandou总线接口;
  • AMD推出的Infinity Fabrie总线互联技术,以及用于存储芯片堆叠互联的HBM接口;
  • Xilinx正在开发OpenHBI,一种源自HBM标准的片间互连/接口技术;
  • Momentum 正在推动铜混合键合,使用微小的铜对铜连接来连接封装中的芯片;
  • NVIDIA推出的用于GPU的高速互联NVLink方案;
  • 光互连论坛正在开发一种称为CEI-112G-XSR的技术,为小芯片实现高速传输的芯片到芯片连接;

这些都是产业链企业在致力Chiplet实现高速互联上的不同尝试。

此外,ODSA也正在研究多种技术,包括标准芯片到芯片接口、参考设计和工作流程,致力于小芯片设计交换 (Chiplet Design Exchange:CDX) 的早日实现,即可以灵活交易来自不同供应商认证过的小芯片。



ODSA表示:“我们正在编写一份CDX白皮书,该白皮书将为业界提供有关构建小芯片模型的指南。其中,建模的一致性是开发组件能够在市场上交易的关键。但是实现这一目标需要时间和资源,至少在两三年内不会出现小芯片的公开交换。”

可见,统一接口和标准对于Chiplet系统至关重要,但仍有较长的路要走。

图源:知乎

国内方面,也有厂商在此展开动作。芯动科技推出了国产自主标准的INNOLINK Chiplet IP和HBM2E等高性能计算平台技术,支持高性能CPU/GPU/NPU芯片和服务器;为了让IP更具象、更灵活的被应用在Chiplet里面,芯原提出了IP as a Chip(IaaC)的理念,旨在以Chiplet实现特殊功能IP从软到硬的“即插即用”,解决7nm、5nm及以下工艺中性能与成本的平衡,并降低较大规模芯片的设计时间和风险。

此外,在2020年全球硬科技创新大会上,业内公司和专家共同启动了Chiplet产业联盟,旨在联合AI产业相关的学术界、产业界等各方重要力量,共同制定全球Chiplet互联标准、共建Chiplet开放平台,助推Chiplet产业生态繁荣。

在Chiplet这块待开垦肥田沃土上,国内外企业都在加速布局。

写在最后

开发通用接口是一项艰巨的任务,因为要考虑各种因素,并且并非所有应用程序都以相同的方式和标准权衡利弊,成本、面积、功耗、带宽、时延、距离、良率、可伸缩性以及在不同节点中实现的能力等等,还有EDA工具,更有标准和产业链生态圈的问题,都是接口技术要考虑的因素。

从行业现状来看,当前主流厂商都正在建立独自的专有标准,然后致力于将自己的标准向全行业普及。相信未来随着生态系统的不断发展,对标准的需求的不断提升,自然会有一部分标准走到前列,影响到行业。大家都期待着自己能够在这个过程中走得更快,走得更远。

一种新的技术不会是一蹴而就的,其突破性发展需要包括市场的、技术的、战略的等在内的各种契机。Chiplet技术不止是技术层面突破的方向之一,也是行业领头者保持先进的策略,更是后发者,尤其在面临种种发展限制下的选择,有多少被期许,就有多少待攻克。

推荐阅读
关注数
11057
内容数
1772
最有深度的半导体新媒体,实讯、专业、原创、深度,50万半导体精英关注。专注观察全球半导体最新资讯、技术前沿、发展趋势。
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息