单片机点灯小能手 · 2021年08月13日

ChipScope波形数据保存及离线查看

ChipScope波形保存及离线查看

    • -

ChipScope是一个非常好用的调试工具,可以实时查看FPGA内部信号的状态,设置触发信号,抓取一定时间范围的波形,截图的方式保存下来,不方便后续的查看。如何把这些波形文件存储在本地,并随时查看呢?这里介绍两种方法:GTKWave和ModelSim查看。

AT24C1024B写时序:

都有哪些内容?

  • ChipScope导出vcd文件
  • 使用GTKWave打开波形文件
  • 使用ModelSim打开波形文件

1.ChipScope中导出VCD波形文件

选择File->Export,导出波形文件。

选择VCD文件格式

选择保存路径,输入波形文件名

这里波形文件已经保存成功了,但是这种文件还不能直接打开。

2.使用GTKWave打开波形文件

GTKWave可以单独安装,也可以安装iverilog超轻量Verilog仿真器来使用,因为安装iverilog时已经包含了GTKWave。

虽然GTKWave可以直接打开vcd文件,但是不支持ChipScope生成的vcd文件类型。还需要使用vcd2lxt或者vcd2lxt2命令,将ChipScope生成的vcd文件转换为lxt或lxt2类型的vcd文件。

ChipScope生成的vcd文件名为:spi.vcd

转换为lxt2格式的vcd文件:

$ vcd2lxt2 spi.vcd spi_lxt2.vcd

Converting vcd File 'spi.vcd' to LXT2 file 'spi_lxt2.vcd'...

76 symbols span ID range of 221465, using indexing...
[0] start time.
[2040] end time.

然后再使用GTKWave命令打开波形:

$ gtkwave spi_lxt2.vcd

添加信号到波形窗口

波形查看

也可以使用vcd2lxt命令转换为lxt格式。

$ vcd2lxt spi.vcd spi_lxt.vcd

Converting vcd File 'spi.vcd' to LXT file 'spi_lxt.vcd'...

76 symbols span ID range of 221465, using indexing...
[0] start time.
[2040] end time.

$ gtkwave spi_lxt.vcd

3.使用ModelSim打开波形文件

如果不想安装iverilog或GTKWave,也可以使用ModelSim打开,需要先将ChipScope生成的vcd格式转换为wlf格式。

使用ModelSim下的vcd2wlf命令转换成wlf格式:

$ vcd2wlf.exe spi.vcd spi_wlf.wlf

这样会在当前目录下生成spi_wlf.wlf的文件,使用ModelSim打开这个文件。

选择File->Open,找到wlf文件所在的路径,并打开wlf文件。

添加所有的信号到wave窗口

这样我们就可以在ModelSim中查看ChipScope保存的波形文件了。

总结

相比于使用ChipScope抓取数据截图的方式,有了这两种方法,非常方便我们记录下波形原始数据,测量时间,做笔记等。

首发:知乎
作者:王超

推荐阅读

推荐阅读
关注数
3054
内容数
82
电子电路、单片机、嵌入式、物联网等技术文章分享。
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息