徽州骆驼 · 6月18日

SoC芯片知识点整理

image.png

在智能汽车和电动汽车日益普及的今天,汽车SoC(系统级芯片)成为了推动汽车行业变革的关键技术。作为汽车的大脑,SoC芯片不仅影响着车辆的驾驶性能,也重塑了我们的出行体验。本文将深入探讨汽车SoC芯片的重要性及其对驾驶体验的影响。

image.png

01 SoC芯片的由来

芯片系统(System-on-Chip,SoC)是一种集成电路,将一个系统所需的所有组件压缩到一块硅片上。这一概念的出现为电子设备的设计带来了翻天覆地的变革。通过消除对独立和大型系统组件的需求,SoC 极大地简化了电路板设计,并在不影响系统功能的情况下,显著提高了功率效率和速度。

image.png

SoC 的历史可以追溯到 1970 年代,当时微芯片技术开始崭露头角。然而,直到那个时候,将整个系统集成到单一芯片上还只是一个遥不可及的梦想。随着时间的推移,技术的发展逐步实现了这一愿景。

image.png

在 1974 年,首个芯片系统出现在液晶显示器(LCD)手表中,标志着 SoC 的雏形。而在此之前,微处理器仅仅是作为独立的芯片存在,需要外部芯片的支持才能完成其功能。

image.png

1980 至 1990 年代,半导体制造技术的进步使得在单个芯片上集成更多组件成为可能。混合信号集成技术的发展,进一步推动了芯片的功能多元化,使得芯片能够处理模拟和数字信号,从而拓宽了其应用范围。

image.png

进入 21 世纪初,SoC 开始集成 Wi-Fi、蓝牙和蜂窝调制解调器等无线通信功能,将无线连接带入了我们的移动设备。此外,强大的处理器和图形能力的加入,使得智能手机等移动设备成为人们日常生活中不可或缺的一部分,引领了新的生活方式。

image.png

而如今,SoC 的应用范围已经不再局限于移动设备领域。它们已经扩展到汽车系统、可穿戴设备、工业自动化等多个领域。随着人工智能(AI)、机器学习(ML)和边缘计算等新技术的不断涌现,SoC 正变得越来越专业化,为各行各业带来了更多的可能性和创新机遇。

02 SoC芯片概念介绍

SOC(System On Chip系统级芯片):

SOC是一个高度集成的芯片,它将多个处理器核心、内存、外围设备、图形处理单元等集成在一个单一的硅片上。这种集成化设计不仅提高了处理效率,也大大降低了功耗和成本。SOC通常用于执行更复杂的任务,如高级驾驶辅助系统(ADAS)、自动驾驶、车载信息娱乐系统等。

image.png
SoC芯片示意图

image.png

SoC 代表芯片系统,它是一种小型集成芯片,包含特定系统所需的所有组件和电路。SoC 的组件包括 CPU、GPU、内存、I/O 设备等。下图展示了 SoC 的架构:

image.png
SoC 架构图

上图展示了 SoC 的基本架构,其中包括处理器、DSP、内存、网络接口卡、CPU、多媒体编码器/解码器、DMA 等。

  1. Processor处理器:它是 SoC 的核心,通常 SoC 至少包含一个或多个协处理器。它可以是微控制器、微处理器或 DSP。大多数情况下,DSP 被用作每个 SoC 的处理器。
  2. DSP:DSP 代表数字信号处理器。它包含在 SoC 中以执行信号处理操作,如数据收集、数据处理等。它也用于图像解码。
  3. Memory内存:内存在 SoC 中用于存储。它可以是易失性或非易失性内存。易失性内存包括 RAM,RAM 有两种类型:SRAM 和 DRAM。非易失性内存包括 ROM。
  4. Encoder/Decoder编码器/解码器:用于解读信息并将其转换为代码。
  5. Network Interface card网络接口卡:SoC 具有内部接口或总线或网络以连接所有单独的模块。基本上,网络接口卡提供系统的网络连接。
  6. GPU:GPU 代表图形处理单元,用于 SoC 中以可视化界面。GPU 专门设计用于加速与图像计算相关的操作。GPU 的基本模块包括总线接口、电源管理单元、视频处理单元、图形内存控制器、显示接口等。
  7. Peripheral devices外围设备:外部连接的设备/接口如 USB、HDMI、Wi-Fi 和蓝牙被包含在外围设备中。该设备在 SoC 中用于执行各种操作。
  8. UART(Universal Asynchronous Receiver Transmitter):通用异步收发器包含在 SoC 中,用于传输或接收串行数据。电压调节器、振荡器、时钟和 ADC/DAC 也是 SoC 的一部分。

SoC 的特征:

  1. 部件整合:SoC 设计协调了不同部件,如中央处理单元 (CPU)、内存子系统 (RAM、ROM)、输入/输出接口 (GPIO、UART、USB)、图形处理单元 (GPU)、加速器等整合到一个芯片上。
  2. 紧凑设计:由于许多功能整合到一个芯片上,SoC 使得非常紧凑和节省空间的计算设备成为可能。
  3. 功率效率:通过优化部件连接并减少不同芯片之间的数据移动,SoC 相较于传统多芯片系统可以实现更好的功率效率。
  4. 性能提升:SoC 可以通过更高效的部件间通信和减少芯片间通信的延迟来提供更高的性能。
  5. 定制和适应性:SoC 模型可以根据特定需求进行定制,允许设计师选择并整合特定应用所需的部件。这种定制也使得不同设备模型之间的灵活性得以实现。
  6. 低延迟:SoC 设计减少了数据传输距离,从而降低了部件之间的延迟并提高了整体系统响应速度。
  7. 减少互连复杂性:将部件整合到一个芯片上简化了互连结构,减少了设计和管理通信路径的复杂性。
  8. 先进封装技术:SoC 设计通常使用先进的封装技术,如系统封装 (SiP) 和 3D 堆叠,以进一步提高集成度和性能。
  9. 多核处理:SoC 可以整合多个处理核心,实现高效的并行处理和多任务能力。
  10. 异构计算:SoC 可以结合不同类型的处理单元,如 CPU、GPU、DSP 和专用加速器,以优化各种工作负载的性能。

SoC 的优点:

  1. 体积小,包含许多功能和特性:SoC 将多种功能集成在一个小型芯片上,使设备设计更加紧凑。
  2. 功耗低:由于优化了部件间的连接,SoC 相较于传统的多芯片系统具有更低的功耗。
  3. 在尺寸和功率因子方面灵活:设计师可以根据需要定制 SoC,以满足不同设备的需求。
  4. 构建在单一芯片上:所有功能集成在一个芯片上,减少了不同芯片之间的数据传输延迟和能耗。
  5. 成本效益高:大规模生产 SoC 能够降低单位成本。
  6. 大量生产:SoC 的设计和生产技术已经非常成熟,能够实现大规模制造。

SoC 的缺点:

  1. 设计过程耗时:通常 SoC 的设计过程需要六到十二个月。
  2. 组件故障的替换问题:如果 SoC 的任何组件无法正常工作,整个 SoC 需要更换,而不是单独替换故障组件。
  3. 可见性有限:SoC 的内部结构复杂,难以进行故障排除和性能调优。

image.png

SoC典型案例:

芯片系统(SoC)是一种集成了所有系统组件的小型芯片。与典型的 PC 架构主板相比,主板允许用户连接或拆卸可替换组件,而 SoC 将所有组件集成在电路上,换句话说,SoC 支持的所有组件都被硬编码在芯片上的电路中。SoC 将 CPU、硬盘连接性、随机存取内存(RAM)、只读存储器(ROM)、USB 连接性和所有二级存储设备与嵌入在芯片上的电路连接,而主板通过扩展卡来实现这些功能。

image.png

随着技术变得越来越先进,这种紧密耦合的电路在与扩展硬件相比时有许多优点,如体积小、高性能和低功耗。此外,它们比广泛的硬件占用更少的空间。但所有这些优点都是以不可替换组件为代价的。随着这些优点的出现,调整支持组件数量的灵活性也随之消失。

在 SoC 之前,所有用于完整嵌入式系统开发的组件都集成在印刷电路板(PCB)上。但随着技术的进步,现在单个芯片内含所有外设,如 DMA(Direct Memory Access,直接内存访问)、内存控制器等,以及微处理器或微控制器。

以 BeagleBone Black开发板为例。该开发板包含基于 AM335x 微处理器的 SoC。以下是 AM335x SoC 的内部组件框图。

image.png

AM335x SoC 是一个高度集成的系统芯片,其架构图显示了众多内部组件和模块。

首先是处理器核心,采用 ARM® Cortex™-A8,运行频率最高可达 1GHz,配备 32KB 指令缓存和 32KB 数据缓存(L1 缓存),256KB L2 缓存,176KB ROM 和 64KB RAM。

图形处理单元(GPU)为 PowerVR SGX 3D GFX,负责 3D 图形加速。

显示模块包含 24-bit LCD 控制器和触摸屏控制器,用于驱动 LCD 显示器和处理触摸输入。

加密模块提供 64KB 共享 RAM,用于加密操作。

可编程实时单元 - 工业通信子系统(PRU-ICSS)支持 EtherCAT、PROFINET 和 EtherNet/IP 等工业通信协议。

串行接口部分,AM335x SoC 提供 6 个 UART(通用异步收发器)、2 个 SPI(串行外设接口)、3 个 I2C(集成电路总线)、2 个 McASP(多通道音频串行端口)、2 个 CAN(控制器局域网接口)和 1 个 USB 2.0 HS(高速 USB 主从控制器),还有 2 个 EMAC(以太网媒体访问控制器)。

系统模块包括增强型直接内存访问控制器(eDMA)、8 个定时器模块、看门狗定时器(WDT)、实时时钟(RTC)、增强型高分辨率脉宽调制器(eHRPWM)、增强型正交编码器脉冲模块(eQEP)和电源复位与时钟管理模块(PRCM)。

并行接口方面,SoC 提供 3 个增强型捕获模块(eCAP)、一个 8 通道 12 位模数转换器(ADC)、JTAG 调试接口、通用输入输出接口(GPIO)以及 3 个存储卡接口(MMC、SD 和 SDIO)。

内存接口支持 mDDR/LPDDR、DDR2、DDR3 和 DDR3L 内存类型,具有 16-bit 总线宽度,并支持 NAND 和 NOR 闪存。

综上所述,AM335x SoC 集成了处理器、图形处理、显示控制、加密、工业通信、串行和并行接口,以及多种系统管理模块,显著简化了硬件系统的复杂性,减少了占用空间,提高了性能和功耗效率,适用于多种嵌入式系统和物联网设备应用。

03 SoC芯片产业链介绍

车载SoC(System on Chip,系统级芯片)是汽车电子系统中的关键组件,它集成了多个电子系统的功能,如信息娱乐、导航、自动驾驶辅助系统等。

整个产业链可以分为:

1. 上游:

  • IP核授权:提供芯片设计所需的核心知识产权,如CPU、GPU、存储器等。
  • EDA软件:电子设计自动化软件,用于芯片设计、仿真和验证。
  • 半导体材料:包括硅晶圆、光刻胶、化学气体等,是制造芯片的基础材料。
  • 设备厂商:提供制造芯片所需的各种设备,如光刻机、蚀刻机、清洗设备等。

2. 中游:

  • 芯片设计:负责SoC的设计和开发,包括架构设计、电路设计、逻辑设计等。
  • 晶圆制造:将设计好的芯片在晶圆上制造出来,涉及光刻、蚀刻、离子注入等多个步骤。
  • 封装测试:芯片制造完成后,进行封装以保护芯片,并进行性能测试以确保质量。

3. 下游:

  • Tier1供应商:通常是指一级供应商,它们为汽车制造商提供完整的系统或模块,可能包括集成了SoC的电子控制单元(ECU)。
  • 主机厂:即汽车制造商,它们将SoC等电子组件集成到汽车中,提供给最终消费者。

整个产业链的协同合作对于车载SoC的成功开发和应用至关重要。随着汽车行业向智能化、电动化发展,车载SoC的市场需求和技术要求也在不断提高。

image.png

如图所示展示了车载SoC芯片的整体产业链结构,特别是自动驾驶和高级驾驶辅助系统(ADAS)领域。

  1. 半导体IP供应商:这些公司提供半导体的知识产权(IP)和设计解决方案。

    列出的公司包括:Arteris IP、ARM、Synopsys。

  2. SoC和硬件供应商:这些公司提供系统芯片(SoC)解决方案和其他硬件组件。

    列出的公司包括:Altera(现为Intel的一部分)、Dream Chip、Infineon(英飞凌)、Intel(英特尔)、Mobileye(英特尔公司)、Nextchip、NVIDIA(英伟达)、NXP(恩智浦)、Renesas(瑞萨)、Samsung(三星)、Sequans、STMicroelectronics(意法半导体)、Texas Instruments(德州仪器)、Toshiba(东芝)、Xilinx(赛灵思)。

  3. 一级供应商:这些公司直接向汽车制造商(OEM)供应完整的系统或主要组件。

    列出的公司包括:Autoliv(奥托立夫)、Magna(麦格纳)、ZF(采埃孚)、Hyundai Mobis(现代摩比斯)、Continental(大陆集团)、Denso(电装)、Delphi(德尔福)、Harman(哈曼)、Valeo(法雷奥)、Visteon(伟世通)、Bosch(博世)。

  4. 车辆制造商(OEM):这些公司制造车辆并集成一级供应商提供的各种系统和组件。

    列出的公司包括:Volvo(沃尔沃)、Audi(奥迪)、Honda(本田)、BMW(宝马)、Ford(福特)、Renault(雷诺)、GM(通用汽车)、Mercedes-Benz(梅赛德斯-奔驰)、Tesla(特斯拉)、Toyota(丰田)、Hyundai(现代)。

此外,还有一些共享出行和自动驾驶技术领域的公司,包括Lyft(来福车)、Waymo、Apple(苹果)、Uber(优步)。该图表展示了从半导体IP供应商开始到车辆制造商(OEM)结束的供应链流程,一级供应商在其中作为中间商,提供重要的系统和组件。同时,Lyft、Waymo、Apple和Uber等公司也在广泛的生态系统中扮演着重要角色,可能通过合作伙伴关系或自动驾驶技术开发来实现。

IP核

IP核(Intellectual Property Core)在集成电路设计领域中起着核心作用,它们是经过预先设计和验证的模块,允许快速集成到系统级芯片(System on Chip, SoC)中,从而提高设计效率和性能。IP核的分类不仅基于其功能,如CPU核作为SoC的主要计算引擎,GPU核处理图形和视频渲

染任务,DSP核专门处理音频和视频编解码等信号处理任务,VPU核负责视频编码和解码等视频相关任务,还包括了SoC内部不同模块间通信的总线,以及与外部设备或系统通信的接口,如USB、SPI、I2C等。

这些IP核的可定制性和集成度进一步细分为软核、固核和硬核,其中软核提供最高灵活性,固核在部分综合后保留可定制性,而硬核则在性能和面积上进行了优化,通常作为不可修改的黑箱使用。

image.png

SoC设计者通过选择和集成这些IP核,能够显著减少开发时间和成本,同时确保设计的可靠性和性能。这种模块化的设计方法不仅加速了产品上市过程,还允许设计者针对特定应用进行功能定制,满足市场对多样化和高性能电子产品的需求。

IP核的使用可以大大加快芯片的开发周期,降低设计复杂性,并提高设计的可靠性。

以下是几种常见的半导体IP分类:

1. 半导体IP:

这是一个广泛的术语,涵盖了所有可以用于芯片设计的预定义模块。

2. 处理器IP:

包括CPU、GPU、DSP(数字信号处理器)、AI处理器等核心计算单元的IP。这些IP核通常包括指令集、微架构和必要的接口。

3. 接口IP:

用于实现不同芯片或模块之间通信的接口,例如:

  • 内存接口:如DDR、LPDDR、HBM(高带宽内存)等。
  • I/O接口:如USB、PCIe(Peripheral Component Interconnect Express)、Ethernet等。
  • 存储接口:如SATA、NVMe(非易失性内存快速存储)等。
  • 显示接口:如HDMI、DisplayPort等。

4. 其他物理IP:

包括但不限于以下类型的IP:

  • 模拟IP:如放大器、滤波器、ADC(模数转换器)、DAC(数模转换器)等。
  • 射频IP:用于无线通信的射频收发器、频率合成器等。
  • 电源管理IP:如电压调节器、电源分配网络等。
  • 时钟和复位管理IP:用于芯片内部时钟分配和复位逻辑。
  • 安全IP:如加密模块、安全启动等。

半导体IP核的供应商通常会提供一系列的IP核,以满足不同客户的需求。这些IP核可以单独使用,也可以组合使用,以创建复杂的SoC(System on Chip,系统级芯片)。使用IP核可以显著降低芯片开发的技术门槛和成本,同时加快产品上市时间。

image.png

IP核供应商在全球半导体产业链中发挥着至关重要的作用,它们提供预先设计和验证的功能模块,这些模块是实现快速SoC开发的关键。国际供应商如ARM,以其在移动CPU和GPU市场的领先地位,为智能手机等移动设备提供了广泛的应用基础。ARM的IP核不仅在智能手机领域占据主导地位,还在探索新的市场机会,如服务器和数据中心。Synopsys和Cadence这两家EDA巨头,通过提供多样化的IP解决方案,包括接口和处理器IP,进一步巩固了它们在半导体IP领域的地位。Imagination Technologies以其PowerVR GPU IP在图形处理领域享有盛誉,而CEVA则专注于为无线通信和智能视觉提供专业的DSP和无线连接IP核。

在国内市场,芯原微电子(芯原股份)作为中国大陆领先的半导体IP授权服务提供商,提供全面的处理器IP和数模混合IP解决方案,包括GPU、NPU、VPU和DSP等。芯来科技作为RISC-V处理器IP的领军企业,推动了开源RISC-V架构的发展,并与多家知名芯片公司合作进行量产。阿里巴巴集团旗下的平头哥,以其基于RISC-V的处理器IP,为市场带来了新的活力。赛昉科技(StarFive)提供的基于RISC-V的CPU IP和SoC平台,为物联网和边缘计算领域提供了强有力的支持。锐成芯微和华夏芯等公司则分别以其eNVM、模拟IP、数模混合IP和射频IP,以及异构处理器IP,满足了从物联网到云计算等不同应用场景的需求。

随着5G、人工智能、自动驾驶等新兴技术的发展,对高性能、低功耗的IP核需求日益增长。国内外IP核供应商正通过不断的技术创新和市场拓展,推动整个半导体行业的快速发展。他们不仅提供标准化的IP解决方案,还通过定制化服务满足特定客户的需求,加速产品的上市时间。此外,随着全球供应链的重组和国产化趋势的加强,国内IP核供应商迎来了新的发展机遇,有望在全球半导体产业链中扮演更加重要的角色。

EDA

电子设计自动化(EDA)工具是半导体行业不可或缺的技术支柱。随着技术的发展和应用场景的多样化,EDA工具已经形成了一个庞大而复杂的产品家族。

根据其应用领域和功能,我们可以将EDA工具主要划分为以下几大类:

1. 数字设计类工具

数字设计类工具是面向数字集成电路设计的一系列流程化软件集合。它们涵盖了从功能定义到架构设计,再到RTL编码、功能仿真、逻辑综合、静态时序分析(STA)、形式验证等关键步骤。这些工具的高效协同,确保了数字芯片设计的精确性和可靠性。

2. 模拟设计类工具

模拟设计类工具专注于模拟电路的设计和优化。它们包括版图设计、电路仿真、版图验证、参数提取以及射频设计等关键环节。这些工具帮助设计师在模拟电路的复杂性中寻找最佳解决方案。

3. 晶圆制造类工具

晶圆制造类工具是晶圆厂和代工厂实现工艺开发、器件建模和仿真的得力助手。它们不仅支持PDK(工艺设计套件)的开发与验证,还涉及到计算光刻、掩膜版校准等高级功能。随着摩尔定律的不断推进,这些工具的迭代升级对于保持工艺竞争力至关重要。

4.封装类工具

封装类工具专注于芯片封装环节,提供设计、仿真和验证等一系列解决方案。随着封装技术向高密度、高集成和微小化发展,封装类工具在SI/PI分析、异质集成、HDAP、FOWLP、3DIC、SiP和CoWoS等先进封装技术中的应用日益增多。

5.系统类工具

系统类工具将EDA工程的范畴扩展到了更广泛的电子系统设计领域。它们包括PCB设计、平板显示设计、系统仿真(Emulation)、以及CPLD/FPGA等可编程逻辑器件的设计工具。这些工具不仅支撑了电子系统设计的复杂性,也反映了生产制造技术进步对EDA技术提出的新挑战。

image.png

EDA(电子设计自动化)市场是一个专门服务于集成电路(IC)和电子系统设计的高技术领域。EDA工具在半导体行业中扮演着至关重要的角色,为设计师提供软件和硬件工具,以实现从概念到最终制造的整个设计流程。

以下是对EDA市场的详细介绍以及主要玩家:

EDA市场介绍:

1.市场规模与增长

  • 全球市场规模:根据市场研究,全球EDA市场在2023年的规模约为114亿美元,并预计在未来几年内继续增长,年均增长率约为8-10%。
  • 增长驱动因素:

    半导体行业发展:随着人工智能(AI)、物联网(IoT)、5G、自动驾驶汽车等技术的发展,对先进半导体器件的需求不断增加。

    设计复杂性增加:现代芯片设计越来越复杂,对EDA工具的需求也随之增加。

    技术进步:EDA工具本身不断创新和进步,如机器学习在EDA中的应用。

2.主要市场区域

  • 北美:是EDA工具的主要市场,拥有许多顶尖的EDA公司和半导体公司。
  • 亚太地区:特别是中国、日本和韩国,随着半导体制造和设计能力的提升,对EDA工具的需求不断增加。
  • 欧洲:同样是重要市场,拥有许多半导体和电子系统公司。

image.png

04 SoC芯片分类

SoC市场按类型细分主要包括模拟(Analog)、数字(Digital)和混合(Mixed)三种SoC,每种类型具有不同的功能和应用领域:

1. 模拟SoC (Analog SoC):

  • 主要用于处理模拟信号,如声音、光线、温度等自然形式的连续信号。
  • 包含的关键模块有模拟信号处理器、模数转换器(ADC)、数模转换器(DAC)、模拟滤波器等。
  • 应用领域包括音频处理、传感器接口、无线通信等,特别适用于需要模拟信号处理的场合。

2. 数字SoC (Digital SoC):

  • 专注于处理数字信号,如二进制数据、图像、视频等离散形式的信号。
  • 包含中央处理器(CPU)、存储器、数字信号处理器(DSP)、输入输出控制器(IO Controller)等数字电路。
  • 常见于智能手机、平板电脑、计算机等设备,用于执行计算、存储和通信等任务。

3. 混合SoC (Mixed SoC):

  • 结合了模拟和数字电路的优势,能够同时处理模拟和数字信号。
  • 集成了模拟-数字转换器(ADC)、数字-模拟转换器(DAC)、CPU、DSP等关键模块。
  • 应用于智能手机、汽车电子、医疗设备等复杂系统,在这些系统中需要同时处理不同类型的信号。

image.png

混合SoC芯片的设计融合了数字和模拟电路,以实现高度集成化和功能多样化。在这种设计中,蓝色部分代表数字电路区域,它包含了SoC的核心组件。微处理器作为SoC的大脑,负责执行指令和控制其他组件。基带(BB)处理无线通信协议,而总线则连接SoC内部的各个模块,确保数据快速传输。SRAM作为缓存或内存,提供快速的数据访问,而NVM如FLASH、EEPROM或一次性可编程(OTP)存储器则用于存储固件和用户数据。视频、音频和USB等外设接口控制电路,如SPI、I2C、HDMI接口或UART、GPIO、PWM控制等,提供了与外部设备通信的能力。

绿色部分代表模拟或以模拟为主的混合模块,这是SoC设计中对精确度和信号完整性要求极高的部分。OSC/PLL振荡器为SoC提供时钟信号,是同步数字电路的关键。ADC和DAC作为数字世界与模拟世界之间的桥梁,分别负责将模拟信号转换为数字信号,以及将数字信号转换为模拟信号。GPIO作为通用的I/O接口,可以灵活配置以适应不同的输入输出需求。LDO(低压差线性稳压器)为数字电路提供稳定的电源,保证其正常工作。

在SoC的右侧,首先是PHY(物理层接口),它是一个数模混合模块,负责实现特定通信协议的物理层功能,需要模拟工程师的重点关注。紧邻的是AFE(模拟前端),它对微弱的模拟信号进行放大和处理,然后将其转换为适合ADC或数字电路处理的格式。Power Management模块,包括BUCK降压转换器、BOOST升压转换器或Charge Pump电荷泵等,负责SoC的电源管理,确保电源的稳定供应。传感器控制电路则负责管理各种传感器,如温度、压力或运动传感器,它们是智能系统中不可或缺的部分。

尽管每个SoC芯片的具体模块配置可能因应用需求而异,但左侧列出的模块,如微处理器、总线、SRAM、NVM和外设接口控制电路,几乎在所有SoC设计中都是标准配置。这些模块构成了SoC的基础架构,确保了其基本功能的实现。随着技术的发展,SoC设计将继续向着更高的集成度、更低的功耗和更强的性能方向发展,以满足不断增长的市场需求。

image.png

总结来说,SoC市场的类型细分反映了不同技术需求和应用场景的多样性。模拟SoC擅长处理连续的自然信号,数字SoC适合处理离散的数字数据,而混合SoC则提供了灵活性,能够应对需要同时处理模拟和数字信号的复杂应用。随着技术的发展和市场需求的增长,这三种类型的SoC预计将继续推动电子设备创新和行业进步。

SoC芯片按照AI算力可以分为小算力,中算力,大算力:

在AI算力的分类中,小算力、中算力和大算力的具体范围通常基于每秒万亿次操作(TOPS)的计算能力进行区分。这些分类反映了不同设备和应用场景对AI算力的需求。以下是详细的分类标准:

小算力AI SoC

  1. 小算力AI SoC适用于简单的AI任务和低功耗设备,如物联网设备、简单边缘AI应用等。
  2. 算力范围:< 1 TOPS
  3. 应用示例:简单的语音识别、基本图像处理、低复杂度的机器学习推理。

中算力AI SoC

  1. 中算力AI SoC适用于需要中等计算能力的AI应用,如智能家居设备、工业自动化、智能摄像头等。
  2. 算力范围:1 TOPS - 10 TOPS
  3. 应用示例:智能家居控制、工业物联网、实时视频处理、复杂度适中的机器学习推理。

大算力AI SoC

  1. 大算力AI SoC适用于高性能AI应用,如高端智能手机、自动驾驶、边缘AI计算、数据中心等。
  2. 算力范围:> 10 TOPS
  3. 应用示例:自动驾驶车辆、实时视频分析、复杂机器学习推理、大规模神经网络训练、AR/VR应用。

在自动驾驶和智能座舱应用中,AI SoC(系统级芯片)的使用至关重要。这些SoC需要具备强大的计算能力,以支持复杂的AI和机器学习算法、实时数据处理和多传感器融合。

image.png

05 SoC芯片的功能和应用

汽车SoC(系统级芯片)是现代汽车电子系统中的核心组件,它集成了多种功能,对提升汽车的性能和智能化水平起到了关键作用。下面详细介绍一下汽车SoC芯片的功能和应用。

SoC芯片的功能:

  1. 数据处理与计算:SoC芯片具有强大的数据处理能力,可以快速处理来自车辆传感器的海量数据,包括视频、雷达、激光雷达等,为自动驾驶提供决策支持。
  2. 图像和视觉处理:许多SoC芯片包含专门的图形处理单元(GPU),用于处理车载摄像头捕获的图像,支持物体识别、车道保持等视觉相关的ADAS功能。
  3. 人工智能与机器学习:SoC芯片通常包含AI处理器或神经网络处理器,用于执行机器学习算法,支持复杂的自动驾驶功能,如路径规划、障碍物识别等。

image.png

  1. 网络连接与通信:SoC芯片支持多种通信协议,包括车载以太网、5G、Wi-Fi、蓝牙等,确保车辆与外部环境(如其他车辆、基础设施)的稳定通信。
  2. 安全与加密:为了保护车辆免受黑客攻击,SoC芯片通常包含硬件级别的安全功能,如加密引擎和安全存储。

SoC芯片的应用:

image.png

汽车领域:

  1. 自动驾驶系统:SoC芯片是自动驾驶系统的核心,负责处理传感器数据,进行环境感知和决策制定,实现不同级别的自动驾驶。

image.png

  1. 车载信息娱乐系统:SoC芯片提供强大的计算和图形处理能力,支持高清显示屏、多任务处理和复杂的用户界面,提升车载娱乐体验。

image.png
图片来源:格隆汇

  1. 车辆网络和通信系统:SoC芯片支持车辆的多种网络通信需求,包括车联网(V2X)通信,实现车辆与外部环境的实时数据交换。
  2. 车辆诊断与维护:SoC芯片可以实时监控车辆状态,进行故障诊断和预测性维护,提高车辆的可靠性和安全性。
  3. 集成控制系统:SoC芯片可以集成多个车辆控制系统的功能,如动力系统控制、悬挂系统控制等,实现更高效和精确的车辆控制。

image.png

随着技术的不断发展,汽车SoC芯片的功能和应用将不断扩展,为汽车带来更高的智能化水平和更丰富的功能。它们不仅提高了汽车的性能和安全性,还为汽车制造商提供了更多的创新空间。我们可以期待SoC在汽车中的应用将更加广泛和多样,为人们的生活带来更多便利和可能。

除此之外,SoC的应用广泛,在移动市场中,SoC被广泛应用于智能手机,特别是随着技术进步,智能手机变得更轻薄,SoC的尺寸也随之减小,成为智能手机升级的首选,同时满足高性能和低功耗的需求;例如,iPhone 5中的A6处理器就是一款SoC。

image.png

在嵌入式系统中,几乎所有现代微控制器和微处理器都集成了SoC,以提供更好的可靠性和性能,如苹果智能手表中的S1 SoC和三星Galaxy Gear中的基于ARM Cortex M4微控制器的STM32F401B SoC。

image.png

此外,个人电脑也是SoC的重要应用领域,许多现代个人电脑采用SoC而非传统主板,以满足对高性能和小型化的需求。

06 SOC 评价指标

在汽车行业中,SOC(系统级芯片)的评价指标与传统的MCU(微控制器单元)有所不同,因为SOC通常包含更复杂的处理单元和更多的集成功能。以下是一些评价汽车SOC的关键指标:

1. 处理能力:

  • 高性能处理器:SoC通常包含一个或多个CPU核,这些核可以是高性能的ARM Cortex-A系列或其他定制的处理器内核,以确保处理复杂计算任务的能力。
    image.png
  • 并行处理:多核架构允许并行处理,提升整体计算效率和响应速度
  • 性能:通常以每秒万亿次运算(TOPS)或浮点运算能力(FLOPS)或每秒可处理帧(FPS)来衡量。

image.png

注:TOPS、FLOPS 和 FPS 是三个不同的度量标准,它们分别用于衡量计算机系统或特定硬件的性能:

TOPS (Tera Operations Per Second):

  • 定义:TOPS 是每秒万亿次运算的缩写,用于衡量处理器每秒可以执行的操作次数,包括整数运算、浮点运算和其他类型的运算。
  • 用途:它是一个通用的性能指标,适用于评估各种类型的处理能力,特别是在人工智能和深度学习领域。

FLOPS (Floating Point Operations Per Second):

  • 定义:FLOPS 是每秒浮点运算次数的缩写,专门用于衡量处理器每秒可以执行的浮点运算次数。
  • 用途:它主要用于科学计算、图形渲染和需要高精度数学计算的应用,尤其是在高性能计算(HPC)领域。

FPS (Frames Per Second):

  • 定义:FPS 是每秒帧数的缩写,用于衡量显示设备或系统每秒可以渲染的图像帧数。
  • 用途:它是衡量图形处理能力和视频游戏性能的关键指标,尤其是在评估显卡和游戏性能时。

image.png

总结来说,TOPS 是一个更全面的性能指标,涵盖多种类型的运算;FLOPS 专注于浮点运算,适用于需要高精度数学计算的应用;而 FPS 是衡量图形渲染速度的指标,主要用于评估游戏和视频播放的性能。这些指标根据不同的应用场景和需求,各自扮演着重要的角色。

2. 内存和存储:

  • RAM:足够的随机存取内存(RAM)对于实时数据处理至关重要。
  • 存储:非易失性存储,如NAND闪存,用于存储固件和数据。
  • 集成缓存:L1、L2甚至L3缓存能够显著提升数据访问速度,减少内存瓶颈。
  • 内存控制器:高效的内存控制器设计,以支持DRAM、SRAM等不同类型的内存,提高数据吞吐量。

3. 图形处理单元(GPU):

  • 对于需要复杂图形处理的应用,如高级驾驶辅助系统(ADAS)和自动驾驶,GPU的性能至关重要。
  • GPU集成:高性能图形处理单元(GPU)用于处理图形和图像计算,支持高分辨率显示和复杂的图形渲染任务。
  • 多媒体处理:支持视频编解码器,加速视频播放和处理。

4. 外设接口:

  • 支持各种通信接口,如以太网、CAN、LIN、USB等,以连接车辆的各个系统。
  • 高速接口:包括PCIe、USB、Ethernet等,用于高速数据传输。
  • 无线通信:集成Wi-Fi、Bluetooth和5G模块,满足现代设备的无线连接需求。

5. 安全性:

  • 加密引擎、安全启动、硬件安全模块(HSM)等安全特性,用于保护车辆免受黑客攻击。
  • 硬件安全模块(HSM):集成安全单元,用于加密、认证等安全操作,保护数据隐私。
  • 可信执行环境(TEE):提供隔离的执行环境,确保敏感任务的安全执行。

6. 输入/输出(I/O):

  • 多样化I/O接口:支持各种传感器、摄像头、显示屏和其他外围设备的连接。
  • 高带宽I/O:例如MIPI接口,用于高速摄像头数据传输。

7. 功耗:

  • 低功耗设计对于电动汽车尤为重要,以延长电池寿命。典型的汽车级 SoC 需要在高负载下保持低功耗,以确保车辆长时间运行而不损害电池寿命。对于移动设备和嵌入式系统,功耗是关键因素。SoC必须支持多种电源管理技术,如动态电压和频率调整(DVFS)和电源域控制,以延长电池寿命。
  • 睡眠模式:支持深度睡眠和待机模式,以在非活动期间进一步降低功耗。

8. 可编程性:

  • FPGA集成:某些SoC集成可编程逻辑(如FPGA),允许用户根据特定应用需求进行定制。

9. 工艺技术:

  • 先进制程:采用先进的半导体工艺技术(如7nm、5nm),以提升性能和能效。

10. 封装类型和引脚数:

  • 封装类型和引脚数影响其在汽车电子系统中的布局和应用。常见的封装类型包括 BGA(Ball Grid Array)、QFN(Quad Flat No Leads)、LGA(Land Grid Array)等,不同封装类型适用于不同的应用场景和空间限制。

11. 环境适应性:

  • SoC 需要能够适应汽车环境中可能遇到的振动、冲击和电磁干扰。汽车内部的振动和温度变化可能会影响 SoC 的性能和稳定性,因此汽车级 SoC 需要经过严格的环境适应性测试,以确保其在恶劣条件下的可靠性和稳定性。

12. 可靠性和寿命:

  • SoC 需要在长时间内稳定运行,并具有较长的使用寿命。汽车的使用寿命通常比其他电子设备更长,因此汽车级 SoC 需要具有更高的可靠性和耐久性,能够在严苛的工作环境中稳定运行数年甚至更长时间。为了确保可靠性和寿命,汽车级 SoC 需要经过严格的可靠性测试,并且通常具有更长的保修周期和更严格的质量控制标准。

13. 软件和生态系统支持:

  • 良好的软件支持和开发工具对于快速开发和系统集成至关重要。

14. 认证和标准符合性:

  • SOC需要符合汽车行业的相关标准和认证,如ISO 26262(功能安全)。

image.png

这些评价指标对于汽车制造商来说至关重要,因为它们直接影响到汽车的性能、安全性和可靠性。

在选择合适的SOC时,汽车制造商需要根据具体的应用需求和环境条件来权衡这些指标,以确保所选SOC能够满足项目的长期需求和预期目标。

下表详细对比了一些主要SoC厂家的具体性能指标,包括处理器核心数和频率、功耗、图形处理能力、存储器类型和带宽、安全性功能、通信接口等。

image.png

厂家简介

  1. 高通 (Qualcomm):美国领先的无线通信技术公司,著名的Snapdragon系列SoC广泛用于安卓设备。
  2. 苹果 (Apple):全球知名科技公司,自研A系列SoC用于iPhone、iPad等设备,M系列SoC用于Mac电脑。
  3. 三星 (Samsung):韩国电子巨头,Exynos系列SoC用于其自有品牌的智能设备。
  4. 华为 (HiSilicon):中国领先的通信设备和智能设备制造商,麒麟系列SoC广泛用于华为和荣耀品牌的设备。
  5. 联发科 (MediaTek):台湾芯片设计公司,Dimensity系列SoC广泛应用于中高端智能手机。
  6. 英特尔 (Intel):全球最大的半导体公司之一,主要生产用于电脑和服务器的x86架构处理器。
  7. 英伟达 (NVIDIA):以GPU技术著称,其Tegra SoC广泛应用于游戏设备和自动驾驶系统。

07 SOC 发展现状

SoC市场分析显示,到2024年,系统芯片(SoC)市场规模预计将达到1726.5亿美元,并预计到2029年将增至2538亿美元,年复合增长率为8.01%。SoC技术已成为现代技术的重要组成部分,它通过将计算机的所有组件集成到一颗芯片上,包括CPU、内存、输入输出端口和辅助存储器,彻底改变了电子设备的设计和制造方式。快速发展的技术如5G和6G、软件定义车辆、数字医疗等,以及跨行业的创新,显著推动了SoC快速进入消费市场。SoC长期以来已被广泛应用于平板电脑和手机等电子设备中,因为它们体积小且功耗低,现在越来越多地应用于物联网设备和其他设备。

image.png

消费者对智能和节能设备的需求不断增加,以及对物联网的指数级采用,预计将成为半导体市场的主要推动因素,推动SoC市场的增长。物联网(IoT)的增长已成为日常生活的重要组成部分,企业正利用这项技术开发新产品和服务。SoC在推动柔性电子发展方面也将发挥关键作用,为可穿戴设备和植入式设备提供新的形态因素。

尽管技术的不断发展为关键参与者带来了新机遇,但与SoC相关的高初期研发成本可能成为制约因素。由于SoC在各种产品中的定制需求不断增加,参与者需要同时专注于各种研发项目,增加了项目成本。汽车行业向电动汽车转型也加大了对芯片的需求。一辆典型的汽油发动机汽车使用约50至150个半导体芯片,而一辆电动车可能使用高达3000个半导体芯片,这将推动SoC在全球市场的发展。

SoC的应用在消费电子领域中不可或缺,有助于提高效率、降低能源消耗并减小体积。消费电子预计将在各种应用中对SoCs产生显著需求,主要归因于消费者可支配收入的增加和智能手机的普及。高端智能手机、智能音箱、平板电脑、可穿戴设备等消费电子产品广泛采用边缘人工智能(AI)芯片,预计对AI芯片技术的需求增加将推动市场扩张。此外,互联网和智能手机用户数量的增加,云计算和物联网平台的广泛利用,以及智能基础设施中无线传感器的部署,都将促进市场增长。

image.png

全球智能手机移动网络订阅总数接近64亿,预计到2028年将超过77亿,其中中国、印度和美国拥有最多智能手机移动网络订阅。智能手机的平均销售价格预计将上升,进一步加强市场。亚太地区预计将主导市场,得益于该地区目前主导全球半导体市场并得到政府政策的支持。中国、日本、台湾和韩国共占全球半导体产量的约75%,而其他国家如越南、泰国、马来西亚和新加坡也在该地区市场主导地位中发挥重要作用。

image.png

系统芯片(SoC)市场处于半集中状态,主要参与者包括博通公司、英特尔公司、联发科技股份有限公司、微芯科技股份有限公司和恩智浦半导体公司。市场上的关键参与者通过产品推出、协议和收购等策略扩大市场影响力。例如,GlobalFoundries和Microchip Technology推出了SST ESF3第三代嵌入式SuperFlash技术NVM解决方案,意法半导体推出了用于STM32 MCU和BLE SoCs的单片IC,联发科技和台积电成功开发了使用台积电3纳米技术的首个芯片,博通公司生产了Jericho3-AI芯片。

2023年,中国的汽车SOC(系统级芯片)行业正在经历显著的发展,特别是在智能汽车和新能源汽车领域的推动下。

以下是中国汽车SOC行业的一些关键发展现状:

  • 汽车电动化和智能化趋势:汽车行业正在经历电动化和智能化的革命,这改变了人与车之间的关系。智能汽车时代的发展重点是通过搭载先进传感器和人工智能等技术,实现自动驾驶和人机交互功能。

image.png

  • SOC芯片市场快速增长:随着自动驾驶功能复杂度的提高,对芯片的算力和效率需求增加,导致SOC芯片市场快速增长。英伟达、高通等海外厂商在市场中占据领导地位,而地平线、华为等国内厂商也备受关注。

image.png

  • 国产化进程:中国汽车计算芯片SOC已部分实现国产化,但高端部分仍有待突破。地平线、华为等国产厂商的产品和平台的成熟完善以及主机厂自研趋势,有望推动自动驾驶芯片国产化进程。
  • 智能驾驶和自动驾驶的发展:AD/ADAS算法的发展成为智能汽车竞争力的关键,各大主机厂正在加快组建软件与算法研发团队。激光雷达等传感器的发展也推动了智能驾驶技术的发展。
  • 行业市场规模:预计到2030年,全球汽车领域芯片市场规模约为1000亿美金,相比2017年的375亿美金市场同比增长190%。新能源汽车搭载芯片数量约为传统燃油车的1.5倍,预计2028年单车半导体含量相比2021年翻一番。

image.png

综上所述,中国的汽车SOC行业正处于快速发展阶段,随着技术的进步和市场需求的增长,未来发展潜力巨大。同时,面对国际竞争和市场挑战,国内企业需要持续加强研发和技术创新。

08 SoC芯片未来趋势

汽车SoC(系统级芯片)的技术发展趋势正随着汽车行业的快速变革而不断演进。这些趋势反映了汽车行业对更高效、智能、安全电子系统的需求。

以下是汽车SoC芯片的主要技术发展趋势:

1.高度集成化

image.png

  • 多核心设计:未来的SoC将集成更多的处理核心,包括CPU、GPU、AI处理器等,以提供更强大的计算能力。从任务处理的角度来看,CPU的演变反映了计算需求的发展和硬件技术的进步。早期的CPU设计以单核心为主,采用串行单任务处理模式,这种设计在DOS操作系统时代是足够的,那时对CPU的主要要求是尽可能地提高处理速度。随着Windows等现代操作系统的出现,多任务处理成为常态,这要求CPU能够"一心多用",即分时多任务处理。这种模式下,虽然宏观上CPU处理的任务数量增加了,但对单个任务而言,其处理速度可能会因资源分配给其他任务而变慢。为了提高CPU的任务处理能力和速度,多核心CPU应运而生。这种设计通过在单一芯片上集成多个CPU核心,实现了"多心多用",显著提升了并行处理能力,特别是在服务器等对高并发处理有强烈需求的应用场景中。与此同时,提升CPU时钟频率也是加快处理速度的传统方法。无论 是单核心还是多核心CPU,时钟频率的提升都意味着处理速度的加快,这是衡量CPU性能的关键指标之一。然而,随着CPU性能的不断提升,功耗和散热问题逐渐成为制约其发展的瓶颈。摩尔定律的推进使得CPU集成度提高,晶体管密度加大,但同时也导致功率密度急剧上升。CPU功耗的增加不仅带来了散热挑战,而且限制了时钟频率的进一步提升。例如,Intel的Pentium 4至尊版CPU和现代的Xeon W-3175服务器CPU的高功耗就需要采用更为复杂的散热系统。功耗问题的出现,促使CPU设计者寻找新的解决方案。多核心CPU和SoC(System on Chip)成为处理器芯片发展的新趋势。多核心设计通过分散任务到不同的核心,有效降低了单个核心的功耗,同时提高了整体的处理能力。SoC则进一步集成了CPU、GPU、内存控制器、输入输出接口等多种功能模块,实现了更高的集成度和更低的功耗。这种集成化设计不仅优化了性能,也有助于解决散热问题,使得CPU能够在有限的功耗预算下实现更强大的功能。

image.png

总的来说,CPU的发展是一条不断追求更高任务处理能力和更快处理速度的道路。从单核心到多核心,再到SoC的集成化设计,CPU的演变反映了计算需求的变化和技术进步的方向。尽管面临功耗和散热的挑战,但通过持续的技术创新,CPU设计者们仍在不断推动处理器性能的边界。

  • 集成特定功能:SoC可能集成更多特定功能,如5G通信模块、先进的图像处理单元等,以满足复杂应用的需求。

2. 人工智能与机器学习的集成

  • 增强的AI处理能力:随着自动驾驶技术的发展,SoC将集成更强大的AI处理器,以支持更复杂的机器学习算法和深度学习任务。

image.png

  • 实时数据处理:SoC将能够实时处理和分析大量数据,支持高级的自动驾驶功能,如实时环境感知和决策制定。

image.png

3. 安全性增强

  • 硬件级安全措施:为了应对日益增长的网络威胁,SoC将集成更先进的安全特性,如硬件加密、安全存储和硬件安全模块。
  • 安全认证:SoC可能包括安全认证机制,以确保软件和数据的完整性和安全性。

4. 低功耗和高能效

  • 能效优化:随着电动汽车的普及,SoC将需要更高的能效比,以减少能耗,延长电动汽车的续航里程。

image.png

  • 先进的制程技术:采用更先进的半导体制造工艺,如7纳米或更小工艺,以降低功耗,提高性能。

image.png

5. 软硬件协同设计

  • 可编程性:SoC将提供更高的可编程性,允许通过软件更新来添加新功能或改进现有功能。
  • 软硬件协同优化:通过软硬件的协同设计,优化系统性能,提高资源利用效率。

6. 车联网和通信能力

  • 支持多种通信协议:SoC将支持多种通信协议,包括5G、Wi-Fi6、车载以太网等,以实现车辆与外部环境的无缝连接。
  • 增强的V2X通信:支持车辆与车辆(V2V)、车辆与基础设施(V2I)等通信,以提升交通安全和效率。

image.png

7. 开放式平台和生态系统

  • 标准化接口:SoC可能采用标准化接口,以支持跨不同供应商和平台的兼容性。
  • 支持第三方开发:提供开放的开发环境,支持第三方开发者创建和集成新的应用程序和服务。

image.png

这些技术发展趋势表明,汽车SoC芯片将继续在推动汽车行业创新中发挥关键作用,特别是在自动驾驶、电动化和车联网等领域。随着技术的不断进步,我们可以期待汽车SoC芯片将带来更安全、智能和高效的驾驶体验。

09 小结

SoC芯片作为现代电子系统中的核心组件,以其高度集成化的特点,将传统电子系统中的多个功能模块如CPU、GPU、DSP、VPU、总线、SRAM、NVM以及各种外设接口集成于单一芯片之上,实现了多功能性与紧凑设计的完美结合。SoC的设计旨在提供高性能的同时优化功耗,通过多核心CPU和专用加速器提高处理效率,延长移动设备的电池寿命。SoC的灵活性和定制性使其能够满足不同市场和产品需求,广泛应用于智能手机、平板电脑、智能手表、智能家居设备、汽车电子、物联网设备等多个领域。随着半导体制造技术的进步,SoC在提升集成度和性能的同时,也面临热管理、功耗优化、信号完整性等设计挑战。SoC的发展趋势包括多核心处理器、异构计算、更高的集成度,以及对人工智能和机器学习算法的支持。全球供应链的变化,包括原材料供应、制造能力、市场需求的波动,对SoC的发展产生影响。安全性也是SoC设计的重要考虑因素,特别是在涉及关键应用的场合,需要集成防篡改和加密功能以保护数据。SoC芯片的发展和创新正推动着科技的进步,预示着未来电子设备将拥有更高的性能、更低的功耗、更强的安全性和智能化水平。

参考:

1.Architecture of SoC - GeeksforGeeks

2.What is SoC - System on Chip Introduction with Practical Examples (microcontrollerslab.com)

3.What is a System on a Chip (SoC)? (ansys.com)

4.Competition in automotive SoC market heats up (digitimes.com)

5.一文读懂:世界半导体极简编年史 | 贸泽工程师社区 (eetrend.com)

6.《2023中国半导体IP行业研究报告》-36氪 (36kr.com)

7.2023 Fabless100系列:55家国产EDA/IP厂商调研分析报告-电子工程专辑 (eet-china.com)

8.国产半导体IP供应商盘点-36氪 (36kr.com)

9.国产IP核,黎明前的曙光将至丨《麻省理工科技评论》持续寻找全球半导体领域的“聪明公司”_腾讯新闻 (qq.com)

10.我国SoC芯片行业现状及前景 增量应用市场众多 AioT背景下行业前景广阔_观研报告网 (chinabaogao.com)

11.数模混合|SOC设计与验证-电子工程专辑 (eet-china.com)

12.多核心CPU和SoC芯片及其工作原理-电子工程专辑 (eet-china.com)

13.SOC芯片研究框架 (slidestalk.com)

14.Aluminium Market Size, Industry Trends, Growth Analysis, 2032 (fortunebusinessinsights.com)

END

作者:北湾南巷
来源:芯片技术与工艺

推荐阅读:

更多汽车电子干货请关注汽车电子与软件专栏。欢迎添加极术小姐姐微信(id:aijishu20)加入技术交流群,请备注研究方向。
推荐阅读
关注数
5653
内容数
367
汽车电子与软件行业的相关技术报道及解读。
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息