Dinglei_hello · 2021年11月01日

概述UVM中analysis port

如果testbench中monitor的数据有多个分析用途(例如存在多个RM),我们最好在不同的组件中独立地进行处理。这就导致了一对多的port连接(广播,也可以称之为观察者OOP设计模式)。信息源执行单个写操作向所有观察者广播数据。

所有其他UVMTLMports 和exports,如blocking put ports和blocking put exports都是点对点通信

UVM Analysis port的另一个要求是“不要干扰DUT”,这意味着广播的行为必须是一个非阻塞性的操作。Analysis exports中的write()需要实现为function而不是task。UVM提供了三个对象来满足观察者模式的要求:

  1. analysis ports
  2. analysis exports
  3. analysis fifos

Analysis ports、analysis exports和analysis fifos遵循标准UVM TLM通信。analysis port需要通过connect()方法连接到一个analysis export,这个analysis export提供write()方法

c152c03daef04e5598bac86ed2d33d10.png

当代码调用analysis port上的write()函数时,该端口将使用其内部列表,通过调用所有exports端口上的write()来进行广播。

如果没有export port被连接到Analysis port,然后当调用write() 函数时,不执行任何操作。

343ac3fb5d7b2b0d073f4b7339ebc9fc.png

与其他TLMexports一样,analysis export有两种类型:hierarchical export"imp" export,它们都可以连接到一个port。一个“imp”export 被放置在一个component 以实现write()函数。

508b28c4056932bcc5bb8f55fc682409.png
fc03ba1a6bc59fa885688cdee937d456.png

END

作者:验证哥布林
原文链接:https://mp.weixin.qq.com/s/KyktFxf12TitZpbb22LSkQQ
微信公众号:
芯片验证工程师.jpg

推荐阅读

更多IC设计技术干货请关注IC设计技术专栏
推荐阅读
关注数
11263
内容数
1222
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息