卢骏 · 2020年07月11日

systemverilog的参数化类

sv中提供了参数化类,该类的参数,在定义的时候,没有固定,而是在调用的时候,确定的。参数化类,类似于C++的模板。

定义的格式:

class class_name #(parameter type T=类型);

如以下:
1.png

如果传参,T为int,那么内部所有的T都是int。

如果传参,T为string,那么内部的所有的T都是string。

测试代码:

2.png

执行结果:
3.jpg

如果参数,不是类型,而是值传递,如以下:

4.jpg

外部传递不同的size的值,改变类中的变量a的位宽。

更多相关阅读

system verilog变量定义编译失败
systemverilog的process类
dvteclipse代码预处理(六)

原文首发于骏的世界博客
作者:卢骏
更多IC设计相关的文章请关注IC设计极术专栏,每日更新。

推荐阅读
关注数
11130
内容数
1221
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息