卢骏 · 2020年07月31日

vcs编译systemverilog并且用verdi查看波形

对于编写的systemverilog代码,在linux下,可以使用vcs编译,但是编译的时候,要注意,要加入几个选项。

整个过程,linux使用的是64位的redhat,vcs使用的是vcs2015,verdi使用的是verdi2015。

如以下的systemverilog代码。
1.png

其中router_test_top.sv是顶层的代码。

使用命令

image.png

执行完毕后,就会生成 simv了。但是别忘记要执行以下simv,因为执行了,才会生成fsdb文件。

2.png

生成fsdb后,就可以用verdi打开了。

Verdi软件,需要源代码以及fsdb文件。

命令:

verdi -sv -f filelist –ssf test.fsdb

其中:

-sv: 表示 Verdi 软件 要支持systemverilog
-f filelist: 源代码的文件列表
-ssf test.fsdb: 指定fsdb文件

源代码的文件列表:

3.png

执行后,就启动verdi了。

4.png

将上述的命令,封装成makefile

5.png

以后,只需要将objs的变量修改下,修改为当前设计的顶层。然后直接make命令,就可以对systemverilog代码进行编译,然后仿真,最后启动verdi查看波形。

更多相关阅读

svlib(5) – ini文件操作
system verilog变量定义编译失败
使用VCS生成覆盖率报告

原文首发于骏的世界博客
作者:卢骏
更多IC设计相关的文章请关注IC设计极术专栏,每日更新。

推荐阅读
关注数
10971
内容数
1216
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息