Dskpimc? · 2020年09月10日

Verdi的一些使用技巧记录

1、Verdi中控制dump fsdb波形的一些系统task:
$fsdbDumpvars - Dump the specified instances and nets.
$fsdbDumpfile - Specify FSDB file name.
$fsdbDumpon - Turn on FSDB dumping.
$fsdbDumpoff - Turn off FSDB dumping.
$fsdbSwitchDumpFile - Switch dumping to another FSDB file.
$fsdbAutoSwitchDumpfile - Limit FSDB file size and switch dumping to new FSDB file automatically.
$fsdbDumpflush - Force to dump result to FSDB file.
$fsdbDumpMem - Dump the contents of specified memories.
$fsdbDumpStrength - Dump the strength of signals.
$fsdbDumpvarsToFile - Dump scope/depth from a designated file.

2、当需要在多个代码之间来回切换,可以使用Verdi的Bookmark功能提升效率。
方法:source -> Bookmark 或者 Ctrl+F2,支持无限个Bookmarks。

相关阅读

ACP slave interface 学习
UVM-1.1d源码

作者:谷公子
首发博客:https://blog.csdn.net/W1Z1Q/article/details/105692829
推荐阅读
关注数
11067
内容数
1220
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息