下冰雹 · 2021年02月04日

入门课程与书籍推荐之“EDA算法”

作者:西南交通大学信息学院教师 邸志雄
来源:https://zhuanlan.zhihu.com/p/268633402
1、Synopsys University EDA课程

课程链接:

https://www.synopsys.com/community/university-program/curriculum-programs.html

Synopsys University计划提供了有关IC设计和EDA开发的本科与硕士课程教学培养计划。每个完整学期的课程都包含15周的材料,包括课程提纲,讲座,实验,作业和考试。Synopsys大学计划会员可以从网站下载到课程相关资料。

下图为Synopsys University EDA课程列表。即使不是Synopsys大学计划会员,也可以了解进入EDA算法开发行业需要准备或者自学哪些课程。

2、知名课程推荐

(1) EE 382V: VLSI Physical Design Automation (Spring 2015)

课程主页http://users.ece.utexas.edu/\~dpan/EE382V\_PDA/

授课教师主页 http://users.ece.utexas.edu/\~dpan/

(2) CSE 245 Circuit Simulation, Winter 2015, University of California, San Diego

课程主页 http://cseweb.ucsd.edu/classes/wi15/cse245-a/

授课教师主页:https://cseweb.ucsd.edu/\~kuan/

(3)ECE 5775: High-Level Digital Design Automation (Fall'13, '14, '15, '16, '17, '18)

课程主页 https://www.csl.cornell.edu/courses/ece5775/

授课教师主页 https://zhang.ece.cornell.edu/news.html

(4)EECS219B Logic Synthesis for Hardware Systems,Spring 2000

Course Navigatorpeople.eecs.berkeley.edu

授课教师主页 Course Navigator

(5)ECE6133: Physical Design Automation of VLSI Systems, Spring 2020

https://limsk.ece.gatech.edu/course/ece6133/limsk.ece.gatech.edu

授课教师主页Sung Kyu Lim's Homepage

(6)超大规模集成电路CADI-理论 - 伊利诺伊大学香槟分校

课程主页 【公开课】超大规模集成电路CADI-理论 - 伊利诺伊大学香槟分校(VLSI CAD Part I Logic,英文授课及字幕)\_哔哩哔哩 (゜-゜)つロ 干杯\~-bilibili

以下课程复制自“北京大学高能效计算与应用中心领衔的开源EDA OPEN BELT”网站,感谢罗国杰教授团队收集整理。(为了保持完整性,未与上述推荐课程进行查重处理)

网址
EDA课程与教程 - EDA

Courses and Tutorials
1.Rob A. Rutenbar, coursera VLSI CAD Part I: Logic and VLSI CAD Part II: Layout
2.Kurt Keutzer and Sanjit A. Seshia, UC Berkeley EECS 244: Introduction to Computer Aided Design of Integrated Circuits
3.Sung Kyu Lim, Georgia Tech ECE6133: Physical Design Automation of VLSI Systems
4.David Z. Pan, UT Austin EE 382V: VLSI Physical Design Automation
5.Sanjit A. Seshia, UC Berkeley EECS 219C: Formal Methods: Specification, Verification, and Synthesis
6.Chung-Kuan Cheng, UCSD CSE245: Computer Aided Circuit Simulation and Verification
7.Robert Brayton, UC Berkeley EECS 219B: Logic Synthesis for Hardware Systems
8.Alan Mishchenko, UC Berkeley EECS 290A: Advanced Methods in Logic Synthesis and Equivalence Checking
9.Priyank Kalla, U of Utah ECE/CS 5740/6740: CAD of Digital Circuits Logic Synthesis and Optimization
10.Adnan Aziz, UT Austin Introduction to Logic Synthesis
3、相关著作
  • S. K. Lim, Practical Problems in VLSI Physical Design Automation, Springer, 2008
  • C. J. Alpert, D. P. Mehta, S. S. Sapatnekar, Handbook of Algorithms for Physical Design Automation, Auerbach Publications, 2008
  • 徐宁 等. 超大规模集成电路物理设计理论与算法. 清华大学出版社, 2009年.
  • 陈中宪(著), 喻文健(译). 超大规模集成电路互连线分析与综合. 2008年.
  • Venky Ramachandran等. 超大规模集成电路布线技术. 清华大学出版社, 2018年.
  • Andrew B.Kahng 等著.于永斌,张徐亮,徐宁 等 译. 超大规模集成电路物理设计: 从图分割到时序收敛. 机械工业出版社. 2014年.
  • FPGA原理和结构. [日] 天野英晴 著,赵谦 译. 人民邮电出版社,2019年.
  • V. Betz, J. Rose, and A. Marquardt. Architecture and CAD for deep-submicron FPGAs. Kluwer Academic Publishers, February 1999.
  • Elfadel, Ibrahim (Abe) M., Boning, Duane S., Li, Xin (Eds.) . Machine Learning in VLSI Computer-Aided Design. Springer, 2019.
  • Giovanni De Micheli, Synthesis and Optimization of Digital Circuits, McGraw-Hill, 1994.
  • Luciano Lavagno, Igor L. Markov, Grant E. Martin, Louis K. Scheffer, "Electronic Design Automation for Integrated Circuits Handbook, Second Edition - Two Volume Set," 2016. (ps:感谢北京大学罗国杰教授推荐!)
  • 集成电路自动化. 蔡懿慈,周强,陈松 著. 科学出版社, 2020.
4、学术和学科竞赛

国外有DAC/ICCAD/ISPD/TAU等。以下是国内相关的竞赛:

  • 集成电路EDA设计精英挑战赛,网址http://eda.icisc.cn/。ps:国内唯一一个专注于EDA算法领域的大赛,奖金最为丰厚(最高奖20万元),大赛官方公众号“集成电路EDA设计精英挑战赛”。
  • 全国大学生集成电路创新创业大赛(华大九天杯),网址http://univ.ciciec.com/。ps:国家级A类赛事。
  • 复微杯大学生电子设计大赛(主要是FPGA EDA工具相关算法题)。ps:奖金丰厚,如果想了解更多,可以关注比赛官方公众号“复微杯大学生电子设计大赛”。
  • EDAthon(香港高校主办),网址https://sites.google.com/view/ceda-hk/edathon-2020
5、开源EDA工具
  • 数字仿真工具iverilog、verilator、GTKWave
  • 数字电路逻辑综合工具YoSys
  • 数字芯片布局布线工具Qrouter
  • 开源集成平台OpenROAD
  • FPGA EDA工具Verilog to Routing (VTR)
  • 国产EDA公司芯华章推出的EpicSim
  • 北京大学高能效计算与应用中心领衔的开源EDA OPEN BELT,网址为 Getting started - EDA

如有更多精品教材、著作、课程、开源工具,请在评论区留言,将一一补充,谢谢!

附本人MOOC课程链接,免费学习:

(1)中国大学MOOC平台。本课程受到Xilinx支持。

芯动力——硬件加速设计方法

(2)数字集成电路静态时序分析基础:IC创新学院选课人数最多的课程,选课人数持续排名第一(目前选课人数1200+人;约“IC创新学院”总选课人数的25%,“IC创新学院”总课程数约50+门)。

数字集成电路静态时序分析基础

相关文章推荐

STA | Logical DRC
摸不着的EDA 如何卡住了脖子

更多IC设计技术干货请关注IC设计技术专栏。
推荐阅读
关注数
11182
内容数
1222
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息