罗风 · 2021年03月19日

论STA | SOCV / POCV 之 variation

题记:继续SOCV / POCV论述,前文回顾请看《论STA:SOCV / POCV 开篇》,本文继续铺垫,详尽描述 variation。

芯片制造涉及到许多复杂重复的过程,如:光刻、蚀刻、离子注入、扩散、退火。而且都是原子级操作,尽管控制非常严格,但偏差不可避免。工艺偏差会导致芯片物理参数偏差,如:线宽、沟道掺杂浓度、线厚、临界尺寸、栅氧厚度;而物理参数偏差会导致电特性参数偏差,如:线的电容电阻、阈值电压、饱和电流、栅极电容;电特性参数偏差会导致 cell delay, cell transtion, net delay的偏差,这正是STA 要如此重视variation 的原因所在。

微信图片_20210319110124.jpg

PVT《巴山夜雨涨秋池,邀君共学PVT:STA之PVT》跟RC corner《抽刀断水水更流,RC Corner不再愁:STA之RC Corner》《一曲新词酒一杯,RC Corner继续飞: STA之RC Corner拾遗》《且将新火试新茶,深究趁年华:STA之RC Corner再论》 从宏观上来看都属于对variation 模拟。

  • Environmental variation: 电压跟温度的变化,也就是PVT 中的V跟T。
  • Process variation: 工艺制造过程的偏差,工艺偏差一部分用PVT 中的P来模拟,另一部分用OCV 来模拟。

微信图片_20210319110127.jpg

Process variation

Process variation 可进一步细分为systematic 跟non-systematic 两种:

  • Systematic process variation:  The behaviour of these physical parameter variations have been well understood and can be predicted apriori, by analyzing the layout of the design. The examples are variations due to optical proximity, CMP and metal fill etc.
  • Non systematic process variation: these have uncertain or random behaviour and arise from processes that are orthogonal to design implementation. The examples are the primary contributor to process variations RDF < Random dopant fluctuation >, LER < Line edge roughness >, OTV < Oxide thickness variation >.

微信图片_20210319110129.jpg

而Non systematic process variation又可以进一步细分为Global varation 和local variation:

  • Global variation: 由于工艺偏移导致的die-to-die, wafer to wafer, lot to lot 之间偏差,如:同一个chip上所有管子的沟道长度都比典型值偏大或偏小。
  • Local variation (within-die or intra-die): 同一芯片上不同管子受工艺偏差影响不同< 注:on  chip variation 之源>,如:同一芯片上有些管子的沟道长度偏小,而有些管子的沟道长度却偏大。显然,local variation 比 global  variation 小。

微信图片_20210319110132.jpg

Local variation又可以进一步细分:

  • Spatially correlated variations: 相较于距离相距较远的管子,相邻管子有像似特征。这也是AOCV 跟 SOCV / POCV 要考虑 spatial / distance 的原因。
  • Random or independent variation: 在统计学上完全随机独立,故需要SSTA 对其进行更精确模拟,而作为SSTA的简化模型,SOCV / POCV的精确性从下图可见一斑。

微信图片_20210319110134.jpg

结:

  • 工艺偏差导致芯片物理参数偏差,进一步导致管子电特性偏差,在STA 中表现于cell delay, cell trantion 跟 net delay的偏差。
  • STA 用PVT + OCV 来模拟cell 的timing,用Spice 仿真得到cell delay 跟variation。
  • STA 用RC corner来模拟互连线的variation。
  • PVT 跟RC corner 用于模拟Global varition。
  • Flatten OCV, AOCV, SOCV / POCV 用于模拟local variation.
作者:陌上风骑驴
来源:https://mp.weixin.qq.com/s/NlQCHwGZ4ZrV1P4UfHA74A
作者微信公众号
捕获.PNG

相关文章推荐

 论功耗 | IEEE1801 Coding Part I
 论形式验证 | 撸 LEC flow

更多IC设计技术干货请关注IC设计技术专栏。
推荐阅读
关注数
11168
内容数
1222
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息