棋子 · 1月31日

标准延迟文件和时序报告中的delay value的一致性研究

做后仿真的时候,有时你会发现,sdf(Standard Delay File)和时序报告(timing report)中的delay value不一样,是哪些因素造成的不一样呢?

sdf里面包含哪些delay value

write_sdf 命令默认不写出 interface 的 delay ,有option要指定,或者在后仿环境中自己加input/output delay。STA 可以体现 clock source latency, SDF 只能包括 clock network latency。

sdf只能写出基于GBA的delay value

STA分析的时候有两种模式,GBA和PBA。GBA 的全称是Graph Base Analysis。Primetime 在计算 timing 时,默认是采用 GBA 模式来报 timing 的。PBA的全称是Path Base Analysis。对于 setup 而言,GBA 模式下是将某个 组合逻辑standard cell 所有 input transition 中最大的 transition 值作为所有输入的 input transition 来计算 delay 值。而对于 hold 来说,则采用最小的 transition 值来计算 delay 值;而 PBA 的 timing 计算模式,是根据实际 timing arc 上真实的每个pin 的 transition 值来计算 cell delay 的。

image.png

所以如果采用PBA模式,那么很可能同一条net有多种delay value。后仿真工具就无法正确反标delay value了,后仿真就无法继续下去了。

OCV的影响

OCV是on chip variation,即片上误差。写出sdf可以选择带OCV或者不带,有option可供选择。带ocv后的sdf时序更紧了,仿真精度有限,有时候就会出现时序问题,后仿debug不易,所以一般建议写出sdf时不带OCV。

image.png       

sdf中min、typ、max delay的含义

无论如何,每一个net或者input pin→output pin timing arc的delay值会分为两个“(小括号)”左边括号对应的是setup mode下的delay value,右边的括号对应的是hold mode下的delay;每一个括号从左到右分为该mode下的min delay value、typical delay value和max delay value。写出sdf时有“-min_view”“-max_view” “-typ_view”等option指定对应的PVT corner和constrain mode;一般“-min_view”“-max_view”是必选,“-typ_view”是可选;如果“-min_view”“-max_view” “-typ_view”同时指定,则每个“(小括号)”内有三个delay value;如果只指定“-min_view”“-max_view”,则每个“(小括号)”内只有两个delay value。

image.png

VCS仿真时需要选择读入的delay value的类别

常见用法:

VCS +neg_tchk -negdelay -sdf min|typ|max

如果没有指定,很可能后仿没有读入timing 信息,记得及时查看波形和log,以防sdf读入失败。如果用布局布线工具出sdf,需要注意:一般用SS corner修setup;FF corner 修hold;TT corner可能setup和hold都修了;所以如果使用min 或者 max模式,例如读sdf使用了max模式,如果后仿真出现hold timing violation,可能需要排查下该corner是否真有hold violation(如果sdf里面放松了OCV和uncertain,可能大概率也不会违例,但是存在这种可能)。

sdf与 timing path的delay value不一致时怎么办

有时检查发现sdf中的某些timing arc的delay value确实和timing report中不一致,此时可以做两个debug动作:

1、检查write sdf的option选择是否正确;

2、先在data base中 read constrain ,然后update timing,再写出sdf。

大概率做完这两个动作,sdf和timing report的delay value会匹配上。

END

作者:Jack Xu
文章来源:志芯

推荐阅读

更多IC设计干货请关注IC设计专栏。欢迎添加极术小姐姐微信(id:aijishu20)加入技术交流群,请备注研究方向。
推荐阅读
关注数
11360
内容数
1224
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息