郭帅Bryan 头像

郭帅Bryan

20 声望
他还没有填写个人简介
关注了
0
粉丝数
0
IP 属地上海市
最新动态
  • 赞了文章 ·
    [IC设计]队列管理电路-上

    在数字芯片设计中,几乎所有模块都会涉及到队列管理。输入输出的管理、不同数据流的调度、乱序数据的重排序、不同模块的同步处理、资源管理,等等,均会涉及到队列管理逻辑。如何选择合适的硬件逻辑,对模块的微架构有较大的影响,需要基于具体需求做综合权衡后再做选择。本文简单罗列几种队列管理逻辑,均是个人曾经实...

    摘要图
  • 赞了文章 ·
    它们为何而出现?模拟电路自动化设计的原因和障碍

    ADPLL、ADLDO为何会出现?后续是否还会出现ADDC-DC、 AD-CLASSD等设计?我认为全数字锁相环和全数字LDO的出现是芯片设计中数字电路设计流程&方法和模拟电路设计流程&方法严重脱节的产物。

    摘要图
  • 赞了文章 ·
    DDR 学习时间 (Part I - OS1):DDR IP 开源实现 DDR5 PHY 数据通路

    本期写的是一个 Github 上的开源项目,实现的是 DDR5 PHY 的数据通路的数字部分,准确地说是 PHY 的写数据通路,看上去是一份埃及开罗 Ain Shams University (ASU) 学生的作业或者毕业设计,由企业进行了赞助(Si-Vision)。

    摘要图
  • 赞了文章 ·
    安卓率先跑通多模态大模型,终端本地就能看图生成文本!高通:WiFi都会AI起来

    多模态大模型,首次本地部署在安卓手机上了!现在,对着手机AI助手,输入照片、语音,都能自如对话,所有功能完全在终端侧运行。MWC 2024首日,高通就放大招,通通聚焦终端侧AI。手机上能跑多模态大模型之外,全球首个在Windows PC上运行的音频推理多模态大模型演示,也来了。它能理解音频并进行推理、可实现语音输入的...

    摘要图
  • 赞了文章 ·
    芯片工作中的三重境界:场景感知、理论融合、复合认知

    我记得很早的时候读过一篇课文里面有一句话“看山是山,看山不是山,看山还是山”;这句话形容人从少年到中年到老年对世界认知随年龄和经历而变化。

  • 赞了文章 ·
    标准延迟文件和时序报告中的delay value的一致性研究

    做后仿真的时候,有时你会发现,sdf(Standard Delay File)和时序报告(timing report)中的delay value不一样,是哪些因素造成的不一样呢?

    摘要图
  • 赞了文章 ·
    一文深度解读多模态大模型视频检索技术的实现与使用

    随着视频社会化趋势以及各类视频平台的快速兴起与发展,「视频检索」越来越成为用户和视频平台实现高效查找视频、定位目标内容的新需求。

    摘要图
  • 赞了文章 ·
    NLP国内外大模型汇总列表[文心一言、智谱、百川、星火、通义千问、盘古等等]

    国内外大模型汇总列表中国大模型列表大全,全面收集有明确来源的大模型情况,包括机构、来源信息和分类等,随时更新。Awesome family related to LLMS includes:[链接][链接][链接]大模型相关的Awesome系列包括:大模型评测数据集:[链接]中国大模型列表:[链接]开源开放基础大模型列表:[链接]1.国内大模型列表序号公司...

    摘要图
  • 赞了文章 ·
    数字IC设计中的复位树

    复位信号在数字电路里面的重要性仅次于时钟信号。对一个芯片来说,复位的主要目的是使芯片电路进入一个已知的,确定的状态。主要是触发器进入确定的状态。在一般情况下,芯片中的每个触发器都应该是可复位的。在某些情况下,当在高速应用程序中使用流水线触发器(移位寄存器触发器)时,为了实现更高的性能设计,可能会从...

    摘要图
  • 赞了文章 ·
    干货:简析芯片反向设计流程

    什么是芯片反向设计?反向设计是通过对芯片内部电路的提取与分析、整理,实现对芯片技术原理、设计思路、工艺制造、结构机制等方面的深入洞悉,可用来验证设计框架或者分析信息流在技术上的问题,也可以助力新的芯片设计或者产品设计方案。

    摘要图
  • 赞了文章 ·
    甲小姐对话OpenAI科学家:每个人都在扎堆,要想办法与众不同

    这是《三体Ⅱ:黑暗森林》中,面壁者为了掩饰自己的真实计划而对外说的一句话。无论面壁者做的事情有多么荒唐,都可以被解读为“这是计划的一部分”。人们愿意相信“瞒过智子,对抗三体人”的伟大壮举是可以被计划的。

  • 赞了文章 ·
    Hot Chips 2023会议资料分享

    记得前两年有朋友说,Hot Chips的ppt看了也就是能用来吹吹牛(偏marketing),不过今年大家的总体评价有变化,应该是技术干货多了些,问我找资料的人也多点了。其实有些英文好的朋友,早就看油管或者B站上有热心人搬过来的视频。像我这样的,还是照例等到3个月资料免费公开。

    摘要图
  • 赞了文章 ·
    DDR 学习时间:学习 Micron DDR4 TN-40-07: DDR4 功耗估算 (2)

    本期我们学习美光的一篇文章,关于如何估算 DDR 功耗,本文是对这篇文章粗略翻译和一点儿个人见解。原文链接:Calculating Memory Power for DDR4 SDRAM

    摘要图
  • 赞了文章 ·
    一个时代有一个时代的计算架构

    这是阿兰·图灵在1950年论文《计算机器和智能》中的经典提问,围绕着图灵的目标,软件和硬件开启了分头行动。

    摘要图
  • 赞了文章 ·
    imec 推出虚拟晶圆厂,免费用

    比利时微电子研究中心(imec)宣布推出免费使用版虚拟晶圆厂 imec.netzero 模拟平台,该工具提供了一种量化芯片制造业环境影响的视角,提供学界、政策制定者及设计人员具有价值的洞见。透过此次发布的免费版网页应用,imec旨在跨出半导体供应链,协助产业降低其所带来的环境影响。

    摘要图
  • 赞了文章 ·
    先进封装和异构集成路线图

                                                                                                                                                                                                                                先进封装路线图                                                               ...

    摘要图
  • 赞了文章 ·
    手机旗舰芯片巨头较量,都有哪些“秘密武器”?

    首先是此前的苹果发布会,公布了iPhone 15 Pro搭载的A17 Pro芯片,其采用台积电3nm制程工艺,性能相比上代A16芯片提升20%。接下来,在10月25日夏威夷举办的2023年骁龙峰会上,高通正式推出了骁龙8 Gen3芯片,其将生成式人工智能功能直接引入芯片组,加快了密集计算任务的处理能力,支持在端侧部署AI大模型。目前,搭载骁...

    摘要图
  • 赞了文章 ·
    IC设计错误案例:fifo读控制错误

    异常场景在验证阶段很难完全覆盖,而实际芯片应用中,因为链路不稳定或者噪声的影响,时不时会出现各种异常报文,因此在设计阶段需要重复考虑到异常常见对设计的影响。

    摘要图
  • 赞了文章 ·
    [PCIe]地址边界与地址对齐

    数据传输是基于地址进行的。在分析和设计微架构时,除了地址域之外,如何选择地址信号,我觉得也是值得注意的问题。

    摘要图
  • 赞了文章 ·
    报告连载 | HBM 崛起:从 GPU 到 CPU

    最近,业界首个以算网融合为核心的多元算力研究报告《算力经济时代·2023新型算力中心调研报告》出版,我们将对报告内容开启连载模式。

    摘要图
认证与成就
获得 4 次点赞
2020年07月30日 加入
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息