卢骏 · 2020年08月01日

modelsim建立UVM环境

在modelsim中建立UVM环境,使用的UVM是UVM1.1d。

如果安装的是modelsim 10.4版本的话,软件已经将UVM的库给编译好了,生成了.dll文件,供UVM验证使用。

在modelsim的安装目录下的 UVM-1.1d/win64下,就有一个uvm_dpi.dll。
1.jpg
以hello_world.sv这个例子为例,说明验证环境的搭建。在这个文件目录下,有3个文件。
2.jpg
l hello_world.sv: 验证的代码

l run.bat:  windows运行的脚本

l sim.do: modelsim运行的脚本文件

对于hello_world.sv,该程序,就是输出一个hello uvm。
3.jpg
对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行sim.do脚本。
4.jpg
对于sim.do文件
5.jpg
代码:
image.png
设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1d的DPI的位置

建立work library

编译hello_world.sv代码,通过-L 指定编译需要的几个library

执行仿真,通过-sv_lib选项,执行UVM1.1d的uvm_dpi.dll,然后针对于哪一个module进行仿真

运行100ns

执行,也很简单了,直接对run.bat双击。
6.jpg
就会调用modelsim,然后开始执行sim.do脚本。
7.jpg
最后,就会显示Hello UVM。

至此,验证环境搭建成功。后面,就是增加代码文件,然后在sim.do文件中,对增加的代码文件进行编译,然后针对于顶层module进行仿真即可。

更多相关阅读

vcs编译systemverilog并且用verdi查看波形
system verilog变量定义编译失败
使用VCS生成覆盖率报告

原文首发于骏的世界博客
作者:卢骏
更多IC设计相关的文章请关注IC设计极术专栏,每日更新。

推荐阅读
关注数
11181
内容数
1222
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息