下冰雹 · 2021年02月04日

数字IC设计入门书单

作者:西南交通大学信息学院教师 邸志雄
来源:https://zhuanlan.zhihu.com/p/23429432

写一下微电子和半导体行业入门的经典书籍,下面大部分书籍原版都是英文,建议大家尽量看英文原版。同时,也有部分书籍内容翔实全面,虽不是大家所著,但也可以作为入门的参考书籍。另外,本文所列书籍都面向数字集成电路方向,其他方向,诸如模拟集成电路、射频IC、功率器件、工艺、器件等,均未涉及。本文将从理论基础、晶体管级电路、系统级电路、物理实现、Verilog HDL 、FPGA、处理器设计、数字IC验证、EDA相关工具、脚本、linux、职业规划等几个方面给出入门的书单

最后,写书不易,请支持正版,支持作者!

多图,移动端注意流量。

--------------------------------------------------------------------------------------------

1.理论基础类

  • 《半导体物理学(第7版)》。作者:刘恩科,朱秉升,罗晋升著。出版社:电子工业出版社

说明:这本书被国内大部分高校都采用为半导体物理课程的教材。同时,也是部分高校推荐使用的微电子专业硕士生初试参考书。

  • 《集成电路中的现代半导体器件(英文版)》。

说明:国内外很多高校都采用这本书作为半导体器件的入门教材。本书的作者是美国工程院院士、中国科学院外籍院士、FinFET技术发明人、加州大学伯克利分校教授胡正明。本书的特点是内容简明扼要,重点突出,深度掌握适宜,讲解深入浅出。书中还对一些前沿的器件技术进行了阐述。

  • 《国外名校最新教材精选:半导体器件物理(第3版)》。

说明:这本经典著作在半导体器件领域已经树立起了先进的学习和参考典范。施敏博士是国际知名的微电子科学技术与半导体器件专家和教育家,为推动微电子人才培养做出了巨大的贡献。施敏博士在微电子科学技术著作方面举世闻名,对半导体元件的发展和人才培养方面作出贡献。由于他在微电子器件及在人才培养方面的贡献,先后被选为台湾中央研究院院士和美国国家工程院院士;1991年施敏博士得到IEEE电子器件的最高荣誉奖(Ebers奖),称他在电子元件领域做出了基础性及前瞻性贡献。

感谢@Kevin Hahn提供如下补充内容:

半导体物理部分,再推荐两本书:
1) 半导体物理基础-黄昆 韩汝琦。这本书篇幅不大,语言浅显,强调物理图象和实际应用,很适合固体物理基础比较薄弱的读者,但是存在部分印刷错误,科学出版社最新的再版也没有更正,另外,与器件相关的部分内容比较陈旧。
2) 半导体物理学-叶良修。这套书篇幅较大,分上下册,重点是物理概念的清晰、准确然而却不晦涩,且在深度和新进展方面有颇多努力,可读性强,可作为参考书。 半导体器件部分,先说一下施敏博士的《半导体器件物理(第3版)》,这本书的内容设置比较矛盾,有一定深度,却又深入不足,如果不同步阅读其他资料,很难用一本书来读懂主要内容。
个人推荐施博士的另一本书——《半导体器件物理与工艺》,这本书把重点放在集成电路中最常用的半导体结构和器件(PN结/ BJT/ MOSFET),半导体物理部分重点讲述载流子及其输运,并增加了集成电路制造工艺的部分,语言浅显易懂,有很好的实用性,适合初学者或不必过度钻研半导体器件的读者如电路设计工程师。
此外,伯克利的胡正明博士(FINFET发明人)的Modern Semiconductor Devices for Integrated Circuits也相当不错,这本书对MOS基本原理与电学特性及其在现代集成电路中的应用描述清晰,语言通畅。大陆有引进印刷版(影印和中译都有),而可贵的是,胡博士将此书的英文电子版及讲义放在个人网站供读者免费下载阅读。

集成电路工艺制造类:

温德通. 集成电路制造工艺与工程应用. 机械工业出版社, 出版时间:2018-09-01.

《集成电路制造工艺与工程应用》以实际应用为出发点,对集成电路制造的主流工艺技术进行了逐一介绍,例如应变硅技术、HKMG技术、SOI技术和FinFET技术,然后从工艺整合的角度,通过图文对照的形式对典型工艺进行介绍,例如隔离技术的发展、硬掩膜版工艺技术、LDD工艺技术、Salicide工艺技术、ESD IMP工艺技术、AL和Cu金属互连。然后把这些工艺技术应用于实际工艺流程中,通过实例让读者能快速的掌握具体工艺技术的实际应用。
600多页彩图的匠心之作,历时4年打磨完成,多位业界大佬鼎力推荐,深入浅出讲解半导体先进工艺制程,是半导体入门和从业者的绝佳参考书,推荐给热爱半导体的你们

2.晶体管级电路类

  • 《国外电子与通信教材系列·数字集成电路:电路、系统与设计(第2版)》。

说明:本书由美国加州大学伯克利分校JanM.Rabaey教授撰写。本书对数字电路基本的MOS器件、连线、逻辑单元等进行了非常详尽的阐释。经典入门级教材,国外和国内很多高校都采用这本书作为本科生、研究生等的专业教材。此外,也有部分高校采用该书作为硕士生初试参考教材。

  • 《数字集成电路分析与设计(第2版)》。经典教材之一,美国加州伯克利大学David A. Hodges教授等所著,被国内外多所高校选定为微电子专业本科生和研究生的教材。

  • 超大规模集成电路与系统导论。

说明:本书中对逻辑单元、加法器等的讲解很精彩,可以作为以上两本书的补充参考书。

  • 《CMOS数字集成电路:分析与设计(第4版 英文版)》国内多所院校采用。

  • [美] 简·拉贝艾(Jan Rabaey) 著,蒲宇,赵文峰,哈亚军,杨胜齐 译. 低功耗设计精解 [Low Power Design Essentials]. 机械工业出版社. 出版时间:2020-01-01.

Prof. Jan Rabaey的经典低功耗著作,写作风格非常有创意,PPT图片配文字解释,很适合当教材,教师基本上立刻拥有了所有PPT.

3.系统级电路类

  • CPU源代码分析与芯片设计及Linux系统移植。

说明:本书详细分析了开放源代码32位RISC CPU(OR1200)的源代码、编译器的移植、Linux操作系统的移植,介绍了CPU源代码在FPGA上的实现方法,说明了CPU芯片的全定制设计方法。本书的特点是对于OR1200的介绍全面,入门时可作为工具书用。

4.物理实现类

  • 《数字集成电路物理设计》

说明:本书是国内第一本全面、完整介绍当今数字集成电路后端布局布线设计技术的专门教材。应该也是唯一的一本数字集成电路后端设计的书籍,包括中英文书籍。本书的作者是Cadence的资深技术人员,在IC设计界从业近20多年。鉴于作者的工作单位,本书中的主要内容都是基于Cadence的EDA工具。目前这本书已经绝版。

  • 《高级ASIC芯片综合》(第2版)。说明:本书的作者也是业界资深技术专家,曾就职于多家业界顶级公司。本书基本也是目前少有的基本对芯片综合进行讲解的技术类书籍。本书主要描述了使用Synopsys工具进行ASIC芯片综合、静态时序分析等做详实的阐述和说明。书中大部分内容在design compiler的手册中都能查到。入门者可结合书和手册进行学习。

  • 《Static Timing Analysis for Nanometer Designs: A Practical Approach》。

先引用一段原书中概述性的介绍:The book covers topics such as cell timing and power modeling; interconnect modeling and analysis, delay calculation, crosstalk, noise and the chip timing verification using static timing analysis. For each of these topics, the book provides a theoretical background as well as detailed examples to elaborate the concepts. The static timing analysis topics covered start from verification of simple blocks useful for a beginner to this field. The topics then extend to complex nanometer designs with in-depth treatment of concepts such as modeling of on-chip variation, clock gating, half-cycle paths, as well as timing of source-synchronous interfaces such as DDR. The impact of crosstalk on timing and noise is covered as is the usage of hierarchical design methodology.

这本书,堪称静态时序分析的圣经,对工艺库、互连线等基本概念讲的很透彻,同时又有当前比较流行的DDR的时序约束分析。入门者可结合本书和Design Compiler、Primetime的手册进行学习。

  • 《Advanced System-on-Chip Test Design and Optimization》

Design For Test的入门书籍,从设计和架构方面详细的讲述了DFT的基本概念,测试方法以及常见错误类型。DFT是在未来几年微电子很好的就业方向,值得关注。

5.Verilog HDL 与数电教材

  • 《轻松成为设计高手:Verilog HDL实用精解》。EDA先锋工作室出品,目前市面上的中文书籍里,少有的几本能够从VerilogHDL硬件本质来阐述这种语言的书。只是不知道为什么作者再版的时候,取了一个看起来风格比较怪异的书名。

  • 汤勇明,张圣清,陆佳华 著. 搭建你的数字积木——数字电路与逻辑设计(Verilog HDL&Vivado版).清华大学出版社, 出版时间:2017-05-01.
东南大学课改教材!教育部Xilinx 公司产学合作专业综合改革重点支持项目!Xilinx公司大学计划指定教材!十三五江苏省高等学校重点教材!配教学课件、教学视频、程序代码!

目前大部分学校的数电课程还是以74系列芯片为主要内容,已经远远落后于工业界数字电路设计的主流技术。此处推荐东南大学汤勇明老师编写的《搭建你的数字积木——数字电路与逻辑设计》。这本书将Xilinx Vivado集成设计开发环境在第1章中就呈现给读者,让读者从一开始就能利用该开发工具学习具体逻辑设计。这本书采用了电路设计加VerilogHDL编写的方法,重点突出模块化编程思想并详细介绍了IP设计、封装和调用方法,并在书中给出了VGA控制器、数字图像处理设计等实际案例,入门数字电路与FPGA的绝佳书籍。

  • 袁春风 主编, 武港山,吴海军,余子濠 编著. 数字逻辑与计算机组成. 机械工业出版社. 出版时间:2020-10-01.

个人认为是目前“数字逻辑+计算机组成原理”中文教材(包括国外译作)中最好的书。

数字逻辑与计算机组成一直以来都是相辅相成不可分割的,本书将二者合二为一,更利于加强读者对计算机各组成部件的工作原理的理解以及融会贯通。本教材的内容主要涵盖计算机系统层次结构中从数字逻辑电路到ISA之间的抽象层,自底向上依次为数字逻辑电路层、功能部件/RTL层、微体系结构层和ISA层。因此,本教材主要介绍数字逻辑电路、计算机组成和ISA涉及的相关概念、理论和技术内容。本教材将以新兴开放指令集体系结构RISC-V为模型机,着重介绍数字逻辑电路、整数和浮点数运算、指令系统、中央处理器、存储器和输入/输出等方面的设计思路和具体结构。

6.FPGA 类

  • [日] 天野英晴 著,赵谦 译. FPGA原理和结构. 人民邮电出版社, 出版时间:2019-03-01

“芯片国产化”从来没有像现在这样引起广泛关注,而FPGA几乎是“芯片国产化”中最弱的一环,国内“FPGA结构设计”的相关从业人员也非常缺乏。尽管已经有很多书籍和课程来讲授如何应用FPGA来搭建电子系统、实现硬件加速,但是几乎没有一本中文入门教材来讲授FPGA内部的设计原理和结构,也很少有高校开设“FPGA结构与原理”类似的课程。《FPGA原理和结构》一书集结了日本FPGA和可重构计算领域的多位权威和专家,译者 @JonsonXP 老师也长期从事FPGA和可重构计算研究,在FPL等FPGA顶级学术会议发表多篇论文。本书不仅对FPGA内部结构做了引人入胜的分析和讲解,而且对FPGA CAD工具和算法也进行了详细深入的阐述。更惊喜的是,本书还介绍了FPGA在超算、基因科学、金融、人工智能、高频交易等方面的应用,可以让读者看到一个当下和未来更大的FPGA世界。本书写作风格浅显易懂,严谨求实,非常适合本科生和研究生作为FPGA结构与原理的入门教材,本书日文原作在日本可重构领域几乎人手一本。

《VLSI数字信号处理系统设计与实现》.

  • 《数字信号处理的FPGA实现》.

以上两本书是数字信号处理算法在硬件设计方面的经典书籍。

7.处理器设计类

  • 《计算机体系结构量化研究方法》(英文版·第4版)。最权威和经典的计算机体系结构著作,作者JohnL.Hennessy,斯坦福大学校长,IEEE和DACM会士,美国国家工程研究院院士及美国科学艺术研究院院士,因在RISC技术方面做出的突出贡献而荣获T2001年的Eckert—Mauchly奖。同时他也是2001年SeymourCray计算机工程奖得主,并且和本书另外一位作者DavidA.Paterson分享了2000年的IEEEJohnvonNeumann奖。本书适合有一定基础的研究人员阅读。入门时,不建议读。

  • 《图灵程序设计丛书:CPU自制入门》。本书内容全面,适合入门的初学者,基本上整合了芯片级到板级的软硬件设计,可以帮助读者迅速地建立一个底层硬件设计、高效代码等的软硬件协同工作的知识体系。本书的译者

@JonsonXP
也在知乎。

  • 陈云霁,李玲,李威,郭崎,杜子东 著. 智能计算系统. 机械工业出版社, 出版时间:2020-03-01.
《智能计算系统》由深度学习处理器芯片研究的开拓者陈云霁领衔,中科院计算所、软件所的专家学者倾心写就。智能领域系统能力培养大成之作!领衔作者陈云霁带领的团队曾研制了国际上*个深度学习处理器芯片“寒武纪1号”,本书凝聚了作者团队多年的科研和教学成果,是前沿研究与技术实践结合,快速提升智能领域系统能力的教材和技术参考书。本书通过一个贯穿始终的应用案例——图像风格迁移,全面系统地介绍智能计算系统的软硬件技术栈,涵盖神经网络基础算法、深度学习编程框架、智能芯片体系结构、智能编程语言等。领衔作者陈云霁带领的团队曾研制了国际上*个深度学习处理器芯片“寒武纪1号”,本书凝聚了作者团队多年的科研和教学成果,是前沿研究与技术实践结合,快速提升智能领域系统能力的教材和技术参考书。

本书配套课程主页:

《智能计算系统》

  • 《大型RISC处理器设计:用描述语言Verilog设计VLSI芯片)》

说明:该书是一本系统讲述32位RISC微处理器的设计方法和设计过程的著作,其内容基本上涵盖了RISC微处理器设计的方方面面。本书附带有光盘,内有书中的实例和代码。

  • 《大话处理器:处理器基础知识读本》

说明:非常好的科普性著作。

以下引用自本书的书评:图文并茂、生动幽默的处理器科普读本,全书行文风趣幽默,用类比来解释晦涩的技术,用图画来代替枯燥的文字。本着科技以人为本的理念,《大话处理器:处理器基础知识读本》除了技术外,还介绍了大量人物和公司的故事,供大家闲读。《大话处理器:处理器基础知识读本》的主要读者是IT、通信、电子、半导体行业的从业人员以及学生。喜欢技术的看技术,不喜欢技术的看故事。

8.数字IC 验证类

  • 《SystemVerilog验证(测试平台编写指南原书第2版)》可以作为学习SystemVerilog验证语言的初级阶段读物。书中描述了语言的工作原理并且包含了很多例子,这些例子演示了如何使用面向对象编程(OOP)的方法建立一个基本的、由覆盖率驱动并且受约束的随机分层测试平台。

  • 刘斌 著. 芯片验证漫游指南――从系统理论到UVM的验证全视界. 电子工业出版社, 出版时间:2018-04-01.

9.EDA工具相关类

  • 《数字VLSI芯片设计:使用Cadence和Synopsys CAD工具》介绍如何使用Cadence和Synopsys公司的CAD工具来实际设计数字VLSI芯片。读者通过《数字VLSI芯片设计:使用Cadence和Synopsys CAD工具》可以循序渐进地学习这些CAD工具,并使用这些软件设计出可制造的数字集成电路芯片。本书附带有光盘,内有工艺库、脚本、实例等,供初学者学习使用。

10.脚本类

  • 《Tcl/Tk入门经典(第2版)》介绍了Tcl语言、Tk工具集以及Tcl和C语言结合编程。
  • 《Perl语言入门》。Perl能在绝大多数平台上完成几乎所有任务,不管是简单的修修补补,还是大型完备的网络应用。《Perl语言入门(第6版)(中文版)》从最基础的开始教起,然后逐渐深入,让你慢慢能够自行编写多至128行的程序——如今90%的Perl程序差不多都是这般大小,全书包括输入与输出;用正则表达式处理文本;字符串与排序等数章内容。

11.linux 系统类

  • 《鸟哥的Linux私房菜 》内容丰富全面,基本概念的讲解非常细致,深入浅出。各种功能和命令的介绍,都配以大量的实例操作和详尽的解析。本书是初学者学习Linux不可多得的一本入门好书。

12.职业发展类

  • 胡说IC

本书作者也在知乎@IC咖啡胡运旺,本书内容简介:本书主要写给软件、微电子、通信、自动化、电子工程、半导体工艺、材料、计算机、物理、化学等专业,并有意加入IC行业的同学和刚入IC职场的“菜鸟”们。概述集合了70多位IC圈的CEO、总监、高级经理及资深工程师给出的关于职业发展规划的建议,行业初学者可通过这本书对整个行业有一个更为全面的了解,也可以作为职业发展规划指导书。

相关文章推荐

入门课程与书籍推荐之“EDA算法”
STA | Logical DRC

更多IC设计技术干货请关注IC设计技术专栏。
推荐阅读
关注数
10943
内容数
1209
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息