下冰雹 · 2023年04月03日 · 香港

UVM中seq.start()和default_sequence执行顺序

1. 问题

假如用以下两种方式启动sequence,方法1用sequence的start()方法启动seq1,方法2用UVM的default_sequence机制启动seq2。那么seq1和seq2谁会先启动呢?

// Method1: using build-in start() method of sequence  
task run_phase(uvm_phase phase);  
    super.run_phase(phase);  
    seq1.start(vsqr);  
endtask : run_phase  
   
// Method2: using default sequence  
uvm_config_db#(uvm_sequence_base)::set(this,”agent.seqr.run_phase”,”default_sequence”, seq2);

答案:seq2会先启动。也就是说进入run_phase之后,会先执行seq2的body()内容,等退出seq2之后,再执行seq1的body()内容,串行执行的。

2. 原理

可以打开UVM-1.1d源码下src/base/uvm_task_phase.svh文件。为方便分析,我截图如下。在uvm_task_phase类里execute(xxx)函数的第148行和150行确定了seq1和seq2的执行顺序了。148行会执行default sequence (seq2),150行会执行run_phase()的内容,也就是这时候才会启动seq1.start(vsqr)。

2.1 seqr.start_phase_sequence(phase)解析
start_phase_sequence(xxx)是定义在uvm_sequencer_base类里的,具体看src/seq/uvm_sequencer_base.svh文件。示意截图如下:

 在start_phase_sequence(xxx)函数里会先使用uvm_config_db获取到default sequence,然后在最后会调用seq.start(this)启动它。这就完成了UVM default sequence的启动机制了。

2.2 exec_task(comp,phase)解析
exec_task(xxx)原型在uvm_phase.svh文件里,也就是在uvm_phase类里定义了。之后每个从uvm_phase扩展出来的uvm_common_phase类型和uvm_runtime_phase类型的phase都会override它,在里面添加各自的功能。各自举个例子吧。

对于uvm_common_phase类型里的uvm_run_phase类,它在exec_task(xxx)里会调用对应uvm_component(comp)的run_phase()方法。文件路径: src/base/uvm_common_phase.svh,截图如下:

 对于uvm_runtime_phase类型里的uvm_main_phase类,它在exec_task(xxx)里会调用也会调用对应uvm_component(comp)的run_phase()方法。文件路径: src/base/uvm_runtime_phase.svh,截图如下:

3. 结论

所以大家结合2.1和2.2小节的解析,就可以知道了,用default sequence启动的方式是会优先于对应phase里其它方式启动sequence,也就是先被调用start(sqr)启动起来。

作者:谷公子
文章来源:https://blog.csdn.net/W1Z1Q/article/details/129866296?spm=1001.2014.3001.5502

推荐阅读
PCIE,USB,SATA ,Ethernet 都在用的​SerDes到底是个啥?
搞芯片,不懂逻辑综合是啥?
IC各细分领域公司简介以及薪资调研(GPU篇)

更多IC设计技术干货请关注IC设计技术专栏。
迎添加极术小姐姐微信(id:aijishu20)加入技术交流群,请备注研究方向。
推荐阅读
关注数
11533
内容数
1228
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息