棋子 · 2023年05月29日 · 北京市

Questasim设置仿真随机种子(random seed)

在systemverilog代码运行中,EDA工具会先给1个随机种子值(seed),所有代码里的随机数都是根据这个初始种子衍生出来的。因此,通常来说,只要代码没有改动,且初始种子一样,那么仿真运行结果也一样。那么在questasim里如何设置和查看种子值呢?

1. 设置种子值

Questasim给vsim命令提供了-sv_seed <interger> | random的参数。有几个关键点:

如果vsim后面没有跟着sv_seed,那么默认seed是0;
如果vsim后面跟着sv_seed,但没有提供<interger> 或 random,那么会报错;
如果sv_seed后面跟着非负32-bit整数(interger),那么这个非负整数就是初始seed值。如果是无效值的话,那么questasim会报warning信息并且忽略掉;
如果sv_seed后面这个random单词的话,那么vsim命令每次运行都会使用随机生成的seed;
sv_seed在modelsim.ini文件里是只读的,不能改写;

2. 读取种子值

Questasim里提供了$get_initial_random_seed系统函数,User可以在systemverilog code里调用这个系统函数就可以得到当前仿真使用的初始seed值。

另外一种方式是在Tcl shell窗口中,通过echo $Sv_Seed把seed值展示在shell窗口。

3. 使用例子

3.1 设置初始seed值为666的整数:

vsim -sv_seed 666

3.2 设置初始seed值为随机数:

vsim -sv_seed random

4. 测试代码

测试代码如下:

vsim -sv_seed random
$display("1. The seed is: %0d, random data:%0d", $get_initial_random_seed, $urandom);
vsim -sv_seed 666
$display("2. The seed is: %0d, random data:%0d", $get_initial_random_seed, $urandom);
vsim
$display("3. The seed is: %0d, random data:%0d", $get_initial_random_seed, $urandom);
vsim -sv_seed
$display("4. The seed is: %0d, random data:%0d", $get_initial_random_seed, $urandom);

结果如下:

1. The seed is: 281111952, random data:3445949350
2. The seed is: 666, random data:3072267859
3. The seed is: 0, random data:313949478
Error. Use the -help option for complete vsim usage.
4. Error. Use the -help option for complete vsim usage.
作者:谷公子
文章来源:CSDN

推荐阅读

一颗芯片的自述:从立项、流片、验证到发布管理的心路历程!
原型验证过程中的ASIC到FPGA的代码转换
芯片软硬件协同验证的痛点推动FPGA原型验证不断向前

更多IC设计请关注IC设计技术专栏。欢迎添加极术小姐姐微信(id:aijishu20)加入技术交流群,请备注研究方向。
推荐阅读
关注数
10967
内容数
1216
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息