下冰雹 · 2 天前

半导体前端工艺概述与技术演进

01 半导体前端工艺概述

半导体前端工艺(FEOL)作为集成电路制造的核心环节,承担着在硅晶圆上构建晶体管等有源器件结构的关键任务。其工艺水平直接决定了芯片的性能极限、功耗控制和可靠性表现,是整个半导体制造流程中技术壁垒最高的部分。FEOL 工艺包含晶圆准备、隔离形成、栅极结构构建、源漏区形成等关键步骤,涉及光刻、刻蚀(Etch)、薄膜沉积(TF)、离子注入(Ion Implant)、化学机械抛光(CMP)等一系列高精度制造技术。

02 晶体管结构演进历程

晶体管结构的演进深刻反映了半导体工艺的技术发展路径。早期占据主导地位的是平面型MOSFET 工艺,在 28nm 及以上节点广泛应用。然而,随着特征尺寸缩小至 22nm 及以下,传统平面晶体管的短沟道效应变得难以控制,导致电流泄漏问题突出。为应对这一挑战,FinFET 结构应运而生。FinFET 通过将导电沟道从二维平面扩展到三维空间,显著提高了栅极对沟道的控制能力,使半导体工艺得以继续向 14nm、7nm、5nm 节点推进。

图片

当工艺节点推进至3nm 及以下时,FinFET 结构的局限性开始显现。为此,半导体行业开发了更为先进的环绕栅极晶体管(GAA)结构,包括 Nanosheet 和 Nanowire 等形式。Samsung 在 3nm 节点率先实现了 GAA 结构的量产,其 MBCFET™技术较 5nm FinFET 工艺性能提升 23%,功耗降低 45%,芯片面积减少 16%。TSMC 则计划在 2025 年量产 2nm 工艺,采用 Nanosheet 结构,预计在 100mm² 的芯片面积上集成高达 490 亿个晶体管。

图片

03 核心工艺设备与国产化进展

随着节点微缩,FEOL 工艺的技术挑战日益严峻。在 2nm 节点,集成电路的线宽接近电子波长,量子隧穿效应(QTE)导致漏电流问题突出,传统材料体系面临物理极限。为应对这些挑战,半导体行业引入了多项创新技术:高 K 金属栅(HKMG)替代传统的 Poly/SiO₂栅结构,降低了栅极漏电流;应变硅技术通过引入晶格应力提升载流子迁移率;Co 和 Ru 等新型互连材料替代铜以减少电阻和电迁移;原子层沉积(ALD)和原子层刻蚀(ALE)技术实现原子级精度的薄膜形成和图形转移。

FEOL 工艺设备市场规模庞大且技术门槛极高。2024 年全球 FEOL 半导体设备市场达到 1054.6 亿美元,预计 2031 年将增长至 1575.4 亿美元,年复合增长率达 6.2%。这一市场由少数国际巨头主导,包括 ASML(光刻机)、Applied Material(薄膜沉积和刻蚀)、LAM(刻蚀)、Tokyo Electron(涂胶显影)等。中国设备厂商如华创、中微、拓荆、芯源微、盛美、华清等在细分领域实现突破,但整体市场占有率仍然较低。

图片

image.png

04 先进制程技术解析与成本结构

(一)先进制程技术竞赛

全球半导体制造最前沿的竞争已进入3nm 及以下领域,TSMC、Samsung 和 Intel 三大巨头在 2nm 工艺研发上展开激烈角逐,各自采用不同的技术路线来实现摩尔定律的延续。与此同时,成熟制程(28nm 及以上)通过技术创新和优化,仍然在特定应用领域保持强大的市场竞争力,形成了多层次、多元化的半导体制造格局。

图片

(二)2nm 工艺竞赛与技术路线

TSMC 计划在 2025 年量产 2nm 工艺,采用 Nanosheet 结构,预计在 100mm² 的芯片面积上集成高达 490 亿个晶体管。与 3nm 工艺相比,晶体管密度提升约 50%,性能提升 10%-15%或功耗降低 25%-30%。为实现这一目标,TSMC 在制造过程中引入了 AI 辅助,采用 Nvidia DGX H100 提升光刻计算效率,减少试产阶段的能源消耗和调试时间。

图片

Intel 则另辟蹊径,在 2nm 节点(Intel 20A)引入 PowerVia 技术,将 Power Rail 布线转移到晶圆背面,可减少电压降达 70%,提高芯片性能和能效。Samsung 延续其 GAA 技术路线,在 3nm GAA 工艺基础上进一步优化,计划通过第二代 3nm 工艺实现功耗降低 50%,性能提升 30%,面积减少 35%的目标。

图片

(三)GAA 晶体管技术的突破

GAA 晶体管技术代表了当前最先进的器件结构。与 FinFET 相比,GAA 结构通过将沟道材料完全被栅极包围,提供了更好的静电控制,有效抑制短沟道效应。Samsung 的 3nm GAA 工艺采用 MBCFET™设计,可根据应用需求调整 nm 片宽度,宽 nm 片用于高性能应用,窄 nm 片用于低功耗场景。TSMC 的 2nm Nanosheet 技术则进一步优化了 MBCFET 的制造工艺,通过更精确的厚度控制和应变工程提升载流子迁移率。

(四)成熟制程的创新与市场定位

成熟制程的创新同样不容忽视。28nm 及以上工艺节点通过设计技术协同优化(DTCO),仍然能够满足 IoT、汽车电子、工业控制等领域的需求。SMIC 在 28nm 和 14nm 工艺上持续优化,其改良型 12nm 工艺已启动试生产,通过晶体管结构优化和低电阻互连技术,性能较标准 14nm 提升 15%,功耗降低 20%,在PPA之间取得了良好平衡。

(五)制造成本与EUV 光刻技术的影响

制造成本飙升已成为先进工艺面临的最大挑战。3nm 工艺每片晶圆价格高达 19865 美元,较 5nm 增长 42.9%。这种成本增长主要来自设备投资剧增(2nm 工厂建设需 300 亿美元以上)、工艺步骤增多(3nm 工艺步骤超过 1000 步)以及良率爬坡困难。

EUV 光刻技术的引入是成本和技术的分水岭。7nm 及以下节点普遍需要 EUV 光刻机来简化工艺流程,但 EUV 设备不仅价格昂贵(每台超过 1.5 亿美元),而且产能有限(ASML 年产量约 50 台)。这导致没有 EUV 光刻机的厂商必须采用复杂的多重曝光技术,通过多次图形化来实现精细线条,增加了工艺复杂度和成本。SMIC 在无法获得 EUV 设备的情况下,开发了基于 DUV 光刻机的 N+1/N+2 工艺,通过多重曝光实现了等效 7nm 的工艺能力。

N+1 是在 14nm 基础上的优化,N+2 是在 N+1 基础上的二次迭代

N+2 VS  N+1/14nm

  • Performance improvement:vs 14nm ,+35%。
  • Power consumption reduction:-50%。
  • Logic area Reduction:-70%。
  • Reduction of area:SoC -55%。

图片

自对准双重图形技术(Self-Aligned Double Paterning, SADP)

图片

双重显影(Double Tone Development, DTD)

图片
SAQP技术流程图
image.png

05 半导体工艺应用场景与市场分析

半导体制造工艺的多样性源于应用场景的差异化需求。不同终端产品对性能、功耗、成本和可靠性的要求各异,促使芯片制造商开发针对性的工艺技术方案。随着AI、5G、IoT 等新兴技术的普及,半导体工艺的应用格局正在发生深刻变化,呈现出“先进工艺与成熟工艺并存,各有所长”的多元化发展态势。

(一)智能手机与消费电子

智能手机与消费电子一直是先进制程的主要驱动力。高端智能手机SoC 对性能和能效的极致追求,使其成为 3nm/5nm 工艺的最大客户。苹果 A 系列、高通 Snapdragon、华为 Kirin 等旗舰移动处理器通常率先采用最新工艺节点,以获得竞争优势。然而,随着先进工艺成本飙升,手机芯片也出现了分层现象——只有核心处理器采用最新工艺,而射频、电源管理、图像信号处理等外围芯片则采用更成熟的 28nm-16nm 工艺,以优化整体系统成本和功耗。这种“先进+成熟”的混合使用策略将成为消费电子芯片设计的主流方向。

(二)HPC与 AI 加速器

高性能计算(HPC)与 AI 加速器对先进工艺的依赖程度仅次于移动芯片。GPU、FPGA 和专用 AI 加速器需要极高的晶体管密度和运算性能,因此成为 TSMC 5nm/3nm 的另一大客户群体。NVIDIA 的 H100 GPU 采用 4nm 工艺,下一代 Blackwell 架构 GPU 将使用 3nm 工艺,其 TDP 从 A100 的 400W 增至 700W,甚至突破 1000W。

(三)汽车电子与工业控制

汽车电子对半导体工艺的需求呈现出独特特点。与消费电子不同,汽车应用更强调可靠性、耐久性和工作温度范围,而非极致的性能或功耗。因此,汽车芯片主要采用40nm-28nm 成熟工艺,部分 ADAS(高级驾驶辅助系统)和自动驾驶处理器会使用 16nm/14nm 工艺。电动汽车的普及带动了功率半导体和电源管理芯片的需求,这些器件通常使用更特殊的工艺,如 BCD 或 SiC/GaN 宽禁带半导体工艺。随着汽车电子化、智能化程度提高,车用半导体市场将持续增长,但工艺节点可能长期停留在 28nm-16nm 区间,通过架构优化而非制程微缩来提升性能。

(四)IoT 与边缘计算

IoT 与边缘计算设备通常采用成熟或特种工艺。这类应用对芯片的要求是低功耗、小尺寸和低成本,而非最高性能。BLE/Wi-Fi 连接芯片、MCU、传感器等 IoT 关键组件大多采用 55nm-28nm 工艺生产。随着 AI 向边缘端延伸,出现了“AIoT”新品类,如智能音箱、智能家居中枢等,这些设备通常采用 22nm-12nm 工艺,在性能和功耗间取得平衡。

不同应用领域对半导体工艺的需求特点
image.png

06 国产半导体工艺突破与创新路径

在全球半导体产业格局深度调整的背景下,中国半导体工艺通过多路径创新和集中资源攻关,在成熟制程优化、先进制程突破和新型技术路线等方向取得了显著进展。尽管面临外部技术封锁和设备禁运的严峻挑战,中国半导体产业仍展现出强大的技术韧性和创新活力。

(一)国产光刻技术的多维突破

图片

  • 固体激光器技术:中科院上海光机所林楠团队突破二氧化碳激光技术路线,采用固体激光器开发出 LPP-EUV 光源,能量转换效率达 3.42%,达到国际领先水平。
  • 极紫外光生成技术:哈工大研发团队成功实现 13.5nm 极紫外光技术突破,波长精度达到国际 EUV 光刻标准。
  • 光子芯片技术:上海交大无锡光子芯片研究院建成国内首条光子芯片中试线,年产能达 1 万片晶圆。光子芯片采用光传输替代电子传输,可绕开传统 EUV 光刻技术限制。
  • LDP 替代技术:华为团队研发的激光诱导放电等离子体技术(LDP),与 ASML 的 LPP 技术形成差异化竞争,计划 2026 年实现量产。

(二)成熟制程的深度优化与产能扩张

面对先进制程受限的局面,中国半导体产业采取了“成熟制程深度优化+特色工艺创新”的双轨策略。SMIC、HH 等龙头企业持续推进 28nm/14nm 工艺优化,重点提升良率、可靠性和成本竞争力。SMIC 的改良型 12nm 工艺已启动试生产,通过晶体管结构优化和低电阻互连技术,性能较标准 14nm 提升 15%,功耗降低 20%。

在产能扩张方面,中国芯片制造商正以前所未有的速度增加成熟制程产能。2024 年中国半导体产能增长 15%,达到 885 万片/月(8 英寸等效),预计 2025 年将再增长 14%,突破 1010 万片/月,占全球总产能的近三分之一。这一扩张主要由华虹集团、Nexchip、SMIC 和 CXMT 等企业推动,投资重点集中在 28nm 及以上节点,尤其是 PMIC、显示驱动和车规级芯片等细分领域。

07 前沿趋势与产业展望

半导体工艺创新正从单一依赖制程微缩转向多元化技术路线协同发展。随着摩尔定律逐渐逼近物理极限,产业界正通过先进封装、新材料和新架构的融合创新,延续半导体性能提升的轨迹。这一技术范式转变为中国半导体产业提供了“换道超车”的战略机遇,有望重塑全球半导体产业竞争格局。

(一)先进封装技术的战略价值

先进封装技术正从辅助手段升级为性能提升的核心路径。随着芯片尺寸微缩面临物理和经济双重极限,通过2.5D/3D 封装实现系统级性能提升成为行业共识。TSMC 的 CoWoS(Chip on Wafer on Substrate)技术已成为 AI 芯片的标配,通过硅中介层实现逻辑芯片与 HBM 存储器的异构集成,解决“内存墙”瓶颈。2024 年,尽管 TSMC CoWoS 产能增加了两倍以上,但仍供不应求,预计 2025 年产能将持续倍增。

图片

台积电将CoWoS封装技术分为三种类型——CoWoS-S、CoWoS-R、CoWoS-L。其主要区别在于中介层的不同。

图片

中国封装企业也在积极布局先进封装技术。JCET 推出的 XDFOI Chiplet 高密度多维异构集成系列工艺已进入稳定量产阶段,涵盖 2D、2.5D、3D 集成技术,应用于高性能计算、AI、5G、汽车电子等领域。通富微作为 AMD 最大的封测供应商,大力开发扇出、圆片级、倒装焊等封装技术,并积极布局 Chiplet、2.5D/3D 等顶尖封装技术。华天科技则在江苏盘古半导体 FOPLP 项目上投资 5 亿(规划 30 亿),采用先进的板级扇出型封装技术,聚焦玻璃基板封装,预计 2026-2028 年量产。

图片

(二)新材料与新架构的革命性突破

二维材料和新型存储技术正开启半导体工艺的新纪元。传统硅基材料在 3nm 以下节点面临载流子迁移率下降和漏电流增加等问题,产业界正积极探索新型沟道材料。MoS₂、 黑磷等二维材料因其原子级厚度和优异电学特性成为候选,实验室已实现基于二硫化钼的 7nm 晶体管原型,开关比达 10⁸。

图片
黑鳞二维电子结构图

TSMC 在特色工艺领域,通过 RRAM(电阻式随机存取存储器)和 MRAM(磁性随机存取存储器)突破传统 eFlash 的扩展极限。传统 eFlash 在 28nm 达到扩展极限,而 TSMC 的 40、28 和 22nm RRAM 自 2022 年起量产,12nm RRAM 已准备好接受消费产品客户流片。此外,TSMC 22nm RRAM 已通过汽车应用认证,预计 12nm RRAM 很快也将满足同样严格的汽车要求。

图片

RRAM 结构和原理示意图

在芯片架构层面,PIM(存算一体)和硅光集成正带来颠覆性创新。PIM架构通过将计算单元嵌入存储器阵列,大幅减少数据搬运能耗,特别适合AI 推理场景。硅光集成则利用光子代替电子进行数据传输,解决芯片互连带宽瓶颈。

图片

Intel 硅光架构

END

作者:Taylor
文章来源:芯片技术与工艺

推荐阅读

更多 IC 设计干货请关注IC 设计专栏。欢迎添加极术小姐姐微信(id:aijishu20)加入技术交流群,请备注研究方向。

推荐阅读
关注数
23104
内容数
1376
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息