Dinglei_hello · 2021年09月22日

Systemverilog中的DPI 接口

SV 开辟了一条可以将 SV 和 C 语言结合在一起的新途径,通过使用 DPI 的导入声明, SV 语言中可以方便地调用一个 C 函数。同样 SV 中的数值可以作为输入值直接输入到 C 函数中, C 函数的返回值也可以直接传递给 SV。同样,使用 DPI 的导出函数功能,可以在 C 语言中调用 SV 写的 function。

为了在 SV 中调用 C 语言,需要在代码中导入 C 函数,导入的意思类似于声明函数名、输入参数、输出参数等。如下所示:

import "DPI-C" context function int uvm_exit_2();

SystemVerilog 语言中导入格式:

function byte deal_data(input byte char_in,input byte char_in_2)

C 语言中声明格式:

char deal_data(char char_in,char char_in_2)

在这里需要注意在导入函数时,需要将传入和传出的参数对应起来,否则会出现错误。

被导入的 C 函数可以有多个参数,可以传递的属性可以是 input、 ouput 和inout,同时可以是 int、 char 等单值或数组、结构体,甚至是指针和指向指针的指针。声明输入的形参只能传递给 C 函数,而 C 函数中不能修改该参数值,输入的形参需要 C 函数中对应的参数加上 const 。

在 SV 这边, DPI 限制传递给 C 函数的形参的数据类型,以及导入函数的返回类型。合法的数据类型为:void、 logic、 bit、 byte、 shortint、 int、 longint、 real、shortreal、 chandle、 string。这些数据类型也可以作为函数的返回类型。

SystemVerilog 中定义的数据类型和 C 语言的数据类型的对应关系如下表1所示:

aijishu_systemverilog.png

这里需要注意的是在导入 C 函数时,需要 SV 这边的数据类型和 C 语言中定义的数据类型要对应起来。

作者:验证哥布林
原文链接:https://mp.weixin.qq.com/s/kAuXQ9Dh-Jm0WLE5ABjsgA
微信公众号:
芯片验证工程师.jpg

推荐阅读

更多IC设计技术干货请关注IC设计技术专栏。
推荐阅读
关注数
11115
内容数
1220
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息