棋子 · 2月8日

Verilog中关于文件操作的系统任务

Verilog提供了很多对文件操作的系统任务和函数,例如打开关闭文件、向文件写入值、从文件读出值等等。

当我们使用这些文件操作时,需要注意文件属性和变量内容,选择正确的系统任务,提供相关参数,以避免一些不必要的错误。这里主要介绍关于文件打开/关闭、文件写入和文件读出相关的操作。

文件打开/关闭

image.png

文件写入

image.png
image.png

文件读出

image.png

除此之外,还有两个常用的系统任务$readmemb和$readmemh,用于从文件中读取数据到存储器中。读取的内容只包括:空白位置(空格、换行、制表格(tab和form-feeds),注释行、二进制或十六进制的数字。

假设file.txt文件中的数据为

12345678

5a5a5a5a

deadbeef

87654321

image.png

本文主要介绍常用的文件操作系统任务,其余没介绍的大家可以按需学习。

作者:ICer消食片
文章来源:ICer消食片

推荐阅读

更多IC设计干货请关注IC设计专栏。欢迎添加极术小姐姐微信(id:aijishu20)加入技术交流群,请备注研究方向。
推荐阅读
关注数
11335
内容数
1224
主要交流IC以及SoC设计流程相关的技术和知识
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息