story · 2020年09月03日

基于QuestaSIM的SystemVerilog DPI使用流程(step by step)


本文旨在通过一个小设计展示SystemVerilog Direct Programming Interface (DPI)的使用。这个小设计模拟了一个交通信号灯,我们将在GUI中查看代表交通信号灯信号的波形并观察Verilog函数C语言函数调用如何改变交通信号灯的颜色。

设计和Questa SIM仿真脚本存放在工具安装目录:

<install_dir>/examples/tutorials/systemverilog/dpi_basic

9ad291927becd98df2c0fd228480ca4.png

在执行上图中的脚本之前需要完成三件事:

1、创建一个文件夹,将上述文件复制到这个文件夹
2、设置QUESTA\_HOME环境变量(也许你在当初安装的时候已经设置过了)
3、安装gcc-4.2.1-mingw32vc9编译器到Questa SIM的安装目录中

1 module test (); 

3 typedef enum {RED, GREEN, YELLOW} traffic_signal;

5 traffic_signal light; 

7 function void sv_GreenLight ();
8 begin9    light = GREEN;
10 end
11 endfunction 

13 function void sv_YellowLight ();
14 begin15 light = YELLOW;
16 end
17 endfunction 

19 function void sv_RedLight ();
20 begin21 light = RED;
22 end
23 endfunction
 
25 task sv_WaitForRed ();
26 begin
27 #10;28 end
29 endtask
 
31 export "DPI-C" function sv_YellowLight;
32 export "DPI-C" function sv_RedLight;
33 export "DPI-C" task sv_WaitForRed; 

35 import "DPI-C" context task c_CarWaiting (); 
37 initial38 begin
39   #10 sv_GreenLight;
40   #10 c_CarWaiting;
41   #10 sv_GreenLight;
42 end

44 endmodule

先看一下上述的测试文件test.sv

line1line44给测试平台一个名字test,在其中执行各种仿真活动

line3line5定义一个枚举变量light,这是后续操作的对象

line7\~line11、line13\~line17和line19\~line23分别定义了SystemVerilog function,前缀sv\_指明这是SystemVerilog语言编写的function

line25\~line29定义了SystemVerilog task,前缀sv\_指明这是SystemVerilog语言编写的task。这个task内包含了延时,所以不能用function实现。

line31\~line33是SystemVerilog DPI的关键,使用关键字“export”使指定的function或task对于C语言可见,并且其名称必须放在特殊的name space中。

line35"import"声明用于将C世界的代码导入到Verilog世界中

#include "dpi_types.h"
int c_CarWaiting()
{
    printf("There's a car waiting on theother side. \n");
       printf("Initiatechange sequence ...\n");
       sv_YellowLight();
       sv_WaitForRed();
       sv_RedLight();
       return 0;
}

在这里我们可以发现SystemVerilog DPI是以SystemVerilog为中心的当你希望让SystemVerilog中的function或task对C语言可见时,需要将其export到C语言世界。同样,如果你想让SystemVerilog代码看到并访问C语言世界中的某些内容,则需要将其import到SystemVerilog

在Windows平台下,我们之间双击这个windows.bat文件

vlib work
vlog test.sv -dpiheader dpi_types.h foreign.c
vopt +acc test -o opt_test
vsim -i opt_test -do "add wave light; view source"

640.png
然后执行

VSIM 3> run
# There's a car waiting on the other side.
# Initiate change sequence ...

640 (1).png

本文转载自公众号:芯片数字实验室
原文链接:https://mp.weixin.qq.com/s/MJaI0EBHgmKhEdTWXaK5Tg
未经作者同意,请勿转载!


推荐阅读

想了解更多内容,欢迎关注芯片数字实验室专栏,由于工具,你可以专注在更重要的事情上。
推荐阅读
关注数
12273
内容数
199
前瞻性的眼光,和持之以恒的学习~
目录
极术微信服务号
关注极术微信号
实时接收点赞提醒和评论通知
安谋科技学堂公众号
关注安谋科技学堂
实时获取安谋科技及 Arm 教学资源
安谋科技招聘公众号
关注安谋科技招聘
实时获取安谋科技中国职位信息